adding KiCad files

This commit is contained in:
SQFMI 2023-06-08 17:25:42 -04:00
commit bbe7a2fe93
58 changed files with 943945 additions and 0 deletions

31
.gitignore vendored Normal file
View File

@ -0,0 +1,31 @@
.DS_Store
# For PCBs designed using KiCad: https://www.kicad.org/
# Format documentation: https://kicad.org/help/file-formats/
# Temporary files
*.000
*.bak
*.bck
*.kicad_pcb-bak
*.kicad_sch-bak
*-backups
*.kicad_prl
*.sch-bak
*~
_autosave-*
*.tmp
*-save.pro
*-save.kicad_pcb
fp-info-cache
# Netlist files (exported from Eeschema)
*.net
# Autorouter files (exported from Pcbnew)
*.dsn
*.ses
# Exported BOM files
*.xml
*.csv

Binary file not shown.

Binary file not shown.

Binary file not shown.

File diff suppressed because it is too large Load Diff

316
KiCad/Keyboard.kicad_sym Normal file
View File

@ -0,0 +1,316 @@
(kicad_symbol_lib (version 20210619) (generator kicad_symbol_editor)
(symbol "Keyboard:BBQ10KBD" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 10.16 19.05 0)
(effects (font (size 1.524 1.524)) (justify right))
)
(property "Value" "BBQ10KBD" (id 1) (at 1.27 -19.05 0)
(effects (font (size 1.524 1.524)))
)
(property "Footprint" "" (id 2) (at 0 -8.89 0)
(effects (font (size 1.524 1.524)) hide)
)
(property "Datasheet" "" (id 3) (at 0 -8.89 0)
(effects (font (size 1.524 1.524)) hide)
)
(symbol "BBQ10KBD_0_1"
(rectangle (start -10.16 17.78) (end 10.16 -17.78)
(stroke (width 0)) (fill (type background))
)
)
(symbol "BBQ10KBD_1_1"
(pin power_in line (at -15.24 -15.24 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 10.16 180) (length 5.08)
(name "COL3" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 -15.24 0) (length 5.08) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 -15.24 0) (length 5.08) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 -15.24 0) (length 5.08) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 -15.24 0) (length 5.08) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 -15.24 0) (length 5.08) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 -15.24 0) (length 5.08) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 7.62 180) (length 5.08)
(name "COL4" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 -5.08 180) (length 5.08)
(name "ROW3" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 5.08 180) (length 5.08)
(name "COL5" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin input line (at -15.24 15.24 0) (length 5.08)
(name "MIC_VDD" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 -7.62 180) (length 5.08)
(name "ROW4" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 -10.16 180) (length 5.08)
(name "ROW5" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 -12.7 180) (length 5.08)
(name "ROW6" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin input line (at -15.24 2.54 0) (length 5.08)
(name "LEDK_2_3" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -15.24 7.62 0) (length 5.08)
(name "LEDA_3_4" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin input line (at -15.24 5.08 0) (length 5.08)
(name "LEDK_1_4" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -15.24 10.16 0) (length 5.08)
(name "LEDA_1_2" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 -15.24 180) (length 5.08)
(name "ROW7" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 -15.24 0) (length 5.08) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin output line (at -15.24 -2.54 0) (length 5.08)
(name "MIC" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -15.24 -12.7 0) (length 5.08)
(name "AGND" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 -15.24 0) (length 5.08) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 0 180) (length 5.08)
(name "ROW1" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 15.24 180) (length 5.08)
(name "COL1" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 -2.54 180) (length 5.08)
(name "ROW2" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 12.7 180) (length 5.08)
(name "COL2" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Keyboard:BBQ20KBD" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 11.43 24.13 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "BBQ20KBD" (id 1) (at 0 -29.21 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at -6.35 21.59 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at -6.35 21.59 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "BBQ20KBD_0_0"
(pin power_in line (at -13.97 -25.4 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -13.97 20.32 0) (length 2.54)
(name "1V8" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -25.4 0) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 10.16 180) (length 2.54)
(name "COL1" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 7.62 180) (length 2.54)
(name "COL2" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 5.08 180) (length 2.54)
(name "COL3" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 2.54 180) (length 2.54)
(name "COL4" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 0 180) (length 2.54)
(name "COL5" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 -2.54 180) (length 2.54)
(name "COL6" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 -5.08 180) (length 2.54)
(name "COL7" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -25.4 0) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin input line (at -13.97 -7.62 0) (length 2.54)
(name "TP_SHUTDOWN" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -25.4 0) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -25.4 0) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -13.97 -22.86 0) (length 2.54)
(name "AGND" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin output line (at -13.97 -15.24 0) (length 2.54)
(name "MIC" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin input line (at -13.97 15.24 0) (length 2.54)
(name "MIC_VDD" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -25.4 0) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 -25.4 180) (length 2.54)
(name "ROW7" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 -22.86 180) (length 2.54)
(name "ROW6" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 -20.32 180) (length 2.54)
(name "ROW5" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 -17.78 180) (length 2.54)
(name "ROW4" (effects (font (size 1.27 1.27))))
(number "29" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 20.32 180) (length 2.54)
(name "TP_SCL" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 -15.24 180) (length 2.54)
(name "ROW3" (effects (font (size 1.27 1.27))))
(number "30" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 -12.7 180) (length 2.54)
(name "ROW2" (effects (font (size 1.27 1.27))))
(number "31" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 -10.16 180) (length 2.54)
(name "ROW1" (effects (font (size 1.27 1.27))))
(number "32" (effects (font (size 1.27 1.27))))
)
(pin input line (at -13.97 7.62 0) (length 2.54)
(name "LEDK" (effects (font (size 1.27 1.27))))
(number "33" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -13.97 10.16 0) (length 2.54)
(name "LEDA" (effects (font (size 1.27 1.27))))
(number "34" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 7.62 0) (length 2.54) hide
(name "LEDK" (effects (font (size 1.27 1.27))))
(number "35" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 10.16 0) (length 2.54) hide
(name "LEDA" (effects (font (size 1.27 1.27))))
(number "36" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 7.62 0) (length 2.54) hide
(name "LEDK" (effects (font (size 1.27 1.27))))
(number "37" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -17.78 0) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "38" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -20.32 0) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "39" (effects (font (size 1.27 1.27))))
)
(pin output line (at 13.97 15.24 180) (length 2.54)
(name "TP_MOTION" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -25.4 0) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "40" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 17.78 180) (length 2.54)
(name "TP_SDA" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at -13.97 -10.16 0) (length 2.54)
(name "TP_RESET" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -25.4 0) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -13.97 17.78 0) (length 2.54)
(name "2V8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -25.4 0) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
(symbol "BBQ20KBD_0_1"
(rectangle (start -11.43 22.86) (end 11.43 -27.94)
(stroke (width 0.1524)) (fill (type background))
)
)
)
)

189
KiCad/LICENSE Normal file
View File

@ -0,0 +1,189 @@
CERN Open Hardware Licence v1.2
Preamble
Through this CERN Open Hardware Licence ("CERN OHL") version 1.2, CERN
wishes to provide a tool to foster collaboration and sharing among
hardware designers. The CERN OHL is copyright CERN. Anyone is welcome
to use the CERN OHL, in unmodified form only, for the distribution of
their own Open Hardware designs. Any other right is reserved. Release
of hardware designs under the CERN OHL does not constitute an
endorsement of the licensor or its designs nor does it imply any
involvement by CERN in the development of such designs.
1. Definitions
In this Licence, the following terms have the following meanings:
<EFBFBD>Licence<EFBFBD> means this CERN OHL.
<EFBFBD>Documentation<EFBFBD> means schematic diagrams, designs, circuit or circuit
board layouts, mechanical drawings, flow charts and descriptive text,
and other explanatory material that is explicitly stated as being made
available under the conditions of this Licence. The Documentation may
be in any medium, including but not limited to computer files and
representations on paper, film, or any other media.
<EFBFBD>Documentation Location<6F> means a location where the Licensor has
placed Documentation, and which he believes will be publicly
accessible for at least three years from the first communication to
the public or distribution of Documentation.
<EFBFBD>Product<EFBFBD> means either an entire, or any part of a, device built using
the Documentation or the modified Documentation.
<EFBFBD>Licensee<EFBFBD> means any natural or legal person exercising rights under
this Licence.
<EFBFBD>Licensor<EFBFBD> means any natural or legal person that creates or modifies
Documentation and subsequently communicates to the public and/ or
distributes the resulting Documentation under the terms and conditions
of this Licence.
A Licensee may at the same time be a Licensor, and vice versa.
Use of the masculine gender includes the feminine and neuter genders
and is employed solely to facilitate reading.
2. Applicability
2.1. This Licence governs the use, copying, modification,
communication to the public and distribution of the Documentation, and
the manufacture and distribution of Products. By exercising any right
granted under this Licence, the Licensee irrevocably accepts these
terms and conditions.
2.2. This Licence is granted by the Licensor directly to the Licensee,
and shall apply worldwide and without limitation in time. The Licensee
may assign his licence rights or grant sub-licences.
2.3. This Licence does not extend to software, firmware, or code
loaded into programmable devices which may be used in conjunction with
the Documentation, the modified Documentation or with Products, unless
such software, firmware, or code is explicitly expressed to be subject
to this Licence. The use of such software, firmware, or code is
otherwise subject to the applicable licence terms and conditions.
3. Copying, modification, communication to the public and distribution
of the Documentation
3.1. The Licensee shall keep intact all copyright and trademarks
notices, all notices referring to Documentation Location, and all
notices that refer to this Licence and to the disclaimer of warranties
that are included in the Documentation. He shall include a copy
thereof in every copy of the Documentation or, as the case may be,
modified Documentation, that he communicates to the public or
distributes.
3.2. The Licensee may copy, communicate to the public and distribute
verbatim copies of the Documentation, in any medium, subject to the
requirements specified in section 3.1.
3.3. The Licensee may modify the Documentation or any portion thereof
provided that upon modification of the Documentation, the Licensee
shall make the modified Documentation available from a Documentation
Location such that it can be easily located by an original Licensor
once the Licensee communicates to the public or distributes the
modified Documentation under section 3.4, and, where required by
section 4.1, by a recipient of a Product. However, the Licensor shall
not assert his rights under the foregoing proviso unless or until a
Product is distributed.
3.4. The Licensee may communicate to the public and distribute the
modified Documentation (thereby in addition to being a Licensee also
becoming a Licensor), always provided that he shall:
a) comply with section 3.1;
b) cause the modified Documentation to carry prominent notices stating
that the Licensee has modified the Documentation, with the date and
description of the modifications;
c) cause the modified Documentation to carry a new Documentation
Location notice if the original Documentation provided for one;
d) make available the modified Documentation at the same level of
abstraction as that of the Documentation, in the preferred format for
making modifications to it (e.g. the native format of the CAD tool as
applicable), and in the event that format is proprietary, in a format
viewable with a tool licensed under an OSI-approved license if the
proprietary tool can create it; and
e) license the modified Documentation under the terms and conditions
of this Licence or, where applicable, a later version of this Licence
as may be issued by CERN.
3.5. The Licence includes a non-exclusive licence to those patents or
registered designs that are held by, under the control of, or
sub-licensable by the Licensor, to the extent necessary to make use of
the rights granted under this Licence. The scope of this section 3.5
shall be strictly limited to the parts of the Documentation or
modified Documentation created by the Licensor.
4. Manufacture and distribution of Products
4.1. The Licensee may manufacture or distribute Products always
provided that, where such manufacture or distribution requires a
licence under this Licence the Licensee provides to each recipient of
such Products an easy means of accessing a copy of the Documentation
or modified Documentation, as applicable, as set out in section 3.
4.2. The Licensee is invited to inform any Licensor who has indicated
his wish to receive this information about the type, quantity and
dates of production of Products the Licensee has (had) manufactured
5. Warranty and liability
5.1. DISCLAIMER <20> The Documentation and any modified Documentation are
provided "as is" and any express or implied warranties, including, but
not limited to, implied warranties of merchantability, of satisfactory
quality, non-infringement of third party rights, and fitness for a
particular purpose or use are disclaimed in respect of the
Documentation, the modified Documentation or any Product. The Licensor
makes no representation that the Documentation, modified
Documentation, or any Product, does or will not infringe any patent,
copyright, trade secret or other proprietary right. The entire risk as
to the use, quality, and performance of a Product shall be with the
Licensee and not the Licensor. This disclaimer of warranty is an
essential part of this Licence and a condition for the grant of any
rights granted under this Licence. The Licensee warrants that it does
not act in a consumer capacity.
5.2. LIMITATION OF LIABILITY <20> The Licensor shall have no liability
for direct, indirect, special, incidental, consequential, exemplary,
punitive or other damages of any character including, without
limitation, procurement of substitute goods or services, loss of use,
data or profits, or business interruption, however caused and on any
theory of contract, warranty, tort (including negligence), product
liability or otherwise, arising in any way in relation to the
Documentation, modified Documentation and/or the use, manufacture or
distribution of a Product, even if advised of the possibility of such
damages, and the Licensee shall hold the Licensor(s) free and harmless
from any liability, costs, damages, fees and expenses, including
claims by third parties, in relation to such use.
6. General
6.1. Except for the rights explicitly granted hereunder, this Licence
does not imply or represent any transfer or assignment of intellectual
property rights to the Licensee.
6.2. The Licensee shall not use or make reference to any of the names
(including acronyms and abbreviations), images, or logos under which
the Licensor is known, save in so far as required to comply with
section 3. Any such permitted use or reference shall be factual and
shall in no event suggest any kind of endorsement by the Licensor or
its personnel of the modified Documentation or any Product, or any
kind of implication by the Licensor or its personnel in the
preparation of the modified Documentation or Product.
6.3. CERN may publish updated versions of this Licence which retain
the same general provisions as this version, but differ in detail so
far this is required and reasonable. New versions will be published
with a unique version number.
6.4. This Licence shall terminate with immediate effect, upon written
notice and without involvement of a court if the Licensee fails to
comply with any of its terms and conditions, or if the Licensee
initiates legal action against Licensor in relation to this
Licence. Section 5 shall continue to apply.

View File

@ -0,0 +1,253 @@
(kicad_symbol_lib (version 20201005) (generator kicad_symbol_editor)
(symbol "MCU_RaspberryPi:RP2040" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 11.43 1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "RP2040" (id 1) (at 0 -97.79 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_DFN_QFN_Extra:QFN-56_EP_7x7_Pitch0.4mm" (id 2) (at 0 -100.33 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://datasheets.raspberrypi.org/rp2040/rp2040_datasheet.pdf" (id 3) (at 0 -102.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "RP2040_0_0"
(pin power_in line (at -13.97 -2.54 0) (length 2.54)
(name "IOVDD" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -20.32 180) (length 2.54)
(name "GPIO0" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -22.86 180) (length 2.54)
(name "GPIO1" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -25.4 180) (length 2.54)
(name "GPIO2" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -27.94 180) (length 2.54)
(name "GPIO3" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -30.48 180) (length 2.54)
(name "GPIO4" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -33.02 180) (length 2.54)
(name "GPIO5" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -35.56 180) (length 2.54)
(name "GPIO6" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -38.1 180) (length 2.54)
(name "GPIO7" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -2.54 0) (length 2.54) hide
(name "IOVDD" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -40.64 180) (length 2.54)
(name "GPIO8" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
)
(symbol "RP2040_0_1"
(rectangle (start -11.43 0) (end 11.43 -96.52)
(stroke (width 0.001)) (fill (type background))
)
)
(symbol "RP2040_1_1"
(pin bidirectional line (at 13.97 -43.18 180) (length 2.54)
(name "GPIO9" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -45.72 180) (length 2.54)
(name "GPIO10" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -48.26 180) (length 2.54)
(name "GPIO11" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -50.8 180) (length 2.54)
(name "GPIO12" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -53.34 180) (length 2.54)
(name "GPIO13" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -55.88 180) (length 2.54)
(name "GPIO14" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -58.42 180) (length 2.54)
(name "GPIO15" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -93.98 0) (length 2.54) hide
(name "TESTEN" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin input line (at -13.97 -67.31 0) (length 2.54)
(name "XIN" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin output line (at -13.97 -74.93 0) (length 2.54)
(name "XOUT" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -2.54 0) (length 2.54) hide
(name "IOVDD" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -13.97 -25.4 0) (length 2.54)
(name "DVDD" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin input line (at -13.97 -59.69 0) (length 2.54)
(name "SWCLK" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -13.97 -62.23 0) (length 2.54)
(name "SWDIO" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin input line (at -13.97 -46.99 0) (length 2.54)
(name "RUN" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -60.96 180) (length 2.54)
(name "GPIO16" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -63.5 180) (length 2.54)
(name "GPIO17" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -66.04 180) (length 2.54)
(name "GPIO18" (effects (font (size 1.27 1.27))))
(number "29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -68.58 180) (length 2.54)
(name "GPIO19" (effects (font (size 1.27 1.27))))
(number "30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -71.12 180) (length 2.54)
(name "GPIO20" (effects (font (size 1.27 1.27))))
(number "31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -73.66 180) (length 2.54)
(name "GPIO21" (effects (font (size 1.27 1.27))))
(number "32" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -2.54 0) (length 2.54) hide
(name "IOVDD" (effects (font (size 1.27 1.27))))
(number "33" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -76.2 180) (length 2.54)
(name "GPIO22" (effects (font (size 1.27 1.27))))
(number "34" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -78.74 180) (length 2.54)
(name "GPIO23" (effects (font (size 1.27 1.27))))
(number "35" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -81.28 180) (length 2.54)
(name "GPIO24" (effects (font (size 1.27 1.27))))
(number "36" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -83.82 180) (length 2.54)
(name "GPIO25" (effects (font (size 1.27 1.27))))
(number "37" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -86.36 180) (length 2.54)
(name "GPIO26" (effects (font (size 1.27 1.27))))
(number "38" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -88.9 180) (length 2.54)
(name "GPIO27" (effects (font (size 1.27 1.27))))
(number "39" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -91.44 180) (length 2.54)
(name "GPIO28" (effects (font (size 1.27 1.27))))
(number "40" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -93.98 180) (length 2.54)
(name "GPIO29" (effects (font (size 1.27 1.27))))
(number "41" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -2.54 0) (length 2.54) hide
(name "IOVDD" (effects (font (size 1.27 1.27))))
(number "42" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -2.54 0) (length 2.54) hide
(name "ADC_AVDD" (effects (font (size 1.27 1.27))))
(number "43" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -13.97 -20.32 0) (length 2.54)
(name "VREG_VIN" (effects (font (size 1.27 1.27))))
(number "44" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -13.97 -30.48 0) (length 2.54)
(name "VREG_VOUT" (effects (font (size 1.27 1.27))))
(number "45" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -13.97 -52.07 0) (length 2.54)
(name "USB_D-" (effects (font (size 1.27 1.27))))
(number "46" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -13.97 -54.61 0) (length 2.54)
(name "USB_D+" (effects (font (size 1.27 1.27))))
(number "47" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -2.54 0) (length 2.54) hide
(name "USB_VDD" (effects (font (size 1.27 1.27))))
(number "48" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -2.54 0) (length 2.54) hide
(name "IOVDD" (effects (font (size 1.27 1.27))))
(number "49" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -13.97 -25.4 0) (length 2.54) hide
(name "DVDD" (effects (font (size 1.27 1.27))))
(number "50" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -15.24 180) (length 2.54)
(name "QSPI_SD3" (effects (font (size 1.27 1.27))))
(number "51" (effects (font (size 1.27 1.27))))
)
(pin output line (at 13.97 -5.08 180) (length 2.54)
(name "QSPI_SCLK" (effects (font (size 1.27 1.27))))
(number "52" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -7.62 180) (length 2.54)
(name "QSPI_SD0" (effects (font (size 1.27 1.27))))
(number "53" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -12.7 180) (length 2.54)
(name "QSPI_SD2" (effects (font (size 1.27 1.27))))
(number "54" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -10.16 180) (length 2.54)
(name "QSPI_SD1" (effects (font (size 1.27 1.27))))
(number "55" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 -2.54 180) (length 2.54)
(name "~QSPI_CS~" (effects (font (size 1.27 1.27))))
(number "56" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -13.97 -93.98 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "57" (effects (font (size 1.27 1.27))))
)
)
)
)

View File

@ -0,0 +1,114 @@
(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor)
(symbol "GD25Q32C" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 8.89 10.16 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "GD25Q32C" (id 1) (at 0 -10.16 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_SON_Extra:USON-8_3x4mm_P0.8mm" (id 2) (at 0 -12.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 -15.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GD25Q32C_0_1"
(rectangle (start -8.89 8.89) (end 8.89 -8.89)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type background))
)
)
(symbol "GD25Q32C_1_1"
(pin input line (at 11.43 6.35 180) (length 2.54)
(name "~{CS}" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 11.43 -1.27 180) (length 2.54)
(name "DO/IO1" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 11.43 -3.81 180) (length 2.54)
(name "~{WP}/IO2" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -11.43 -6.35 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 11.43 1.27 180) (length 2.54)
(name "DI/IO0" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at 11.43 3.81 180) (length 2.54)
(name "SCK" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 11.43 -6.35 180) (length 2.54)
(name "~{HOLD}/IO3" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -11.43 6.35 0) (length 2.54)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "W25Q64JV" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 8.89 10.16 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "W25Q64JV" (id 1) (at 0 -10.16 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_SON:WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4mm" (id 2) (at 0 -12.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 -15.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "W25Q64JV_0_1"
(rectangle (start -8.89 8.89) (end 8.89 -8.89)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type background))
)
)
(symbol "W25Q64JV_1_1"
(pin input line (at 11.43 6.35 180) (length 2.54)
(name "~{CS}" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 11.43 -1.27 180) (length 2.54)
(name "DO/IO1" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 11.43 -3.81 180) (length 2.54)
(name "~{WP}/IO2" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -11.43 -6.35 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 11.43 1.27 180) (length 2.54)
(name "DI/IO0" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at 11.43 3.81 180) (length 2.54)
(name "SCK" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 11.43 -6.35 180) (length 2.54)
(name "~{HOLD}/IO3" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -11.43 6.35 0) (length 2.54)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -11.43 -6.35 0) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
)

View File

@ -0,0 +1,45 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# GD25Q32C
#
DEF GD25Q32C U 0 40 Y Y 1 F N
F0 "U" 350 400 50 H V R CNN
F1 "GD25Q32C" 0 -400 50 H V C CNN
F2 "Package_SON_Extra:USON-8_3x4mm_P0.8mm" 0 -500 50 H I C CNN
F3 "" 0 -600 50 H I C CNN
DRAW
S -350 350 350 -350 0 1 0 f
X ~CS~ 1 450 250 100 L 50 50 1 1 I
X DO/IO1 2 450 -50 100 L 50 50 1 1 B
X ~WP~/IO2 3 450 -150 100 L 50 50 1 1 B
X GND 4 -450 -250 100 R 50 50 1 1 W
X DI/IO0 5 450 50 100 L 50 50 1 1 B
X SCK 6 450 150 100 L 50 50 1 1 I
X ~HOLD~/IO3 7 450 -250 100 L 50 50 1 1 B
X VDD 8 -450 250 100 R 50 50 1 1 W
ENDDRAW
ENDDEF
#
# W25Q64JV
#
DEF W25Q64JV U 0 40 Y Y 1 F N
F0 "U" 350 400 50 H V R CNN
F1 "W25Q64JV" 0 -400 50 H V C CNN
F2 "Package_SON:WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4mm" 0 -500 50 H I C CNN
F3 "" 0 -600 50 H I C CNN
DRAW
S -350 350 350 -350 0 1 0 f
X ~CS~ 1 450 250 100 L 50 50 1 1 I
X DO/IO1 2 450 -50 100 L 50 50 1 1 B
X ~WP~/IO2 3 450 -150 100 L 50 50 1 1 B
X GND 4 -450 -250 100 R 50 50 1 1 W
X DI/IO0 5 450 50 100 L 50 50 1 1 B
X SCK 6 450 150 100 L 50 50 1 1 I
X ~HOLD~/IO3 7 450 -250 100 L 50 50 1 1 B
X VDD 8 -450 250 100 R 50 50 1 1 W
X GND 9 -450 -250 100 R 50 50 1 1 P N
ENDDRAW
ENDDEF
#
#End Library

1
KiCad/README.md Normal file
View File

@ -0,0 +1 @@
# KiCad Source Files

View File

@ -0,0 +1,106 @@
(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor)
(symbol "TPS61030PWPR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -12.7 16.2306 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Value" "TPS61030PWPR" (id 1) (at -12.7 -19.2278 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Footprint" "SOP65P640X120-17N" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "MF" "Texas Instruments" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Price" "None" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Availability" "Good" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Package" "HTSSOP-16 Texas Instruments" (id 7) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "MP" "TPS61030PWPR" (id 8) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Description" "1.8Vin, 4-A Switch, 96% Efficient Boost Converter w/20A Iq in TSSOP-16 16-HTSSOP -40 to 85" (id 9) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Purchase-URL" "https://pricing.snapeda.com/search/part/TPS61030PWPR/?ref=eda" (id 10) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "ki_locked" "" (id 11) (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(symbol "TPS61030PWPR_0_0"
(rectangle (start -12.7 -15.24) (end 12.7 15.24)
(stroke (width 0.4064) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin input line (at -17.78 0 0) (length 5.08)
(name "SW" (effects (font (size 1.016 1.016))))
(number "1" (effects (font (size 1.016 1.016))))
)
(pin output line (at 17.78 7.62 180) (length 5.08)
(name "LBO" (effects (font (size 1.016 1.016))))
(number "10" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 17.78 -12.7 180) (length 5.08)
(name "GND" (effects (font (size 1.016 1.016))))
(number "11" (effects (font (size 1.016 1.016))))
)
(pin input line (at -17.78 5.08 0) (length 5.08)
(name "FB" (effects (font (size 1.016 1.016))))
(number "12" (effects (font (size 1.016 1.016))))
)
(pin output line (at 17.78 5.08 180) (length 5.08)
(name "VOUT" (effects (font (size 1.016 1.016))))
(number "13" (effects (font (size 1.016 1.016))))
)
(pin output line (at 17.78 5.08 180) (length 5.08)
(name "VOUT" (effects (font (size 1.016 1.016))))
(number "14" (effects (font (size 1.016 1.016))))
)
(pin output line (at 17.78 5.08 180) (length 5.08)
(name "VOUT" (effects (font (size 1.016 1.016))))
(number "15" (effects (font (size 1.016 1.016))))
)
(pin input line (at -17.78 0 0) (length 5.08)
(name "SW" (effects (font (size 1.016 1.016))))
(number "2" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 17.78 -10.16 180) (length 5.08)
(name "PGND" (effects (font (size 1.016 1.016))))
(number "3" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 17.78 -10.16 180) (length 5.08)
(name "PGND" (effects (font (size 1.016 1.016))))
(number "4" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 17.78 -10.16 180) (length 5.08)
(name "PGND" (effects (font (size 1.016 1.016))))
(number "5" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 17.78 12.7 180) (length 5.08)
(name "VBAT" (effects (font (size 1.016 1.016))))
(number "6" (effects (font (size 1.016 1.016))))
)
(pin input line (at -17.78 2.54 0) (length 5.08)
(name "LBI" (effects (font (size 1.016 1.016))))
(number "7" (effects (font (size 1.016 1.016))))
)
(pin input line (at -17.78 -2.54 0) (length 5.08)
(name "SYNC" (effects (font (size 1.016 1.016))))
(number "8" (effects (font (size 1.016 1.016))))
)
(pin input line (at -17.78 7.62 0) (length 5.08)
(name "EN" (effects (font (size 1.016 1.016))))
(number "9" (effects (font (size 1.016 1.016))))
)
)
)
)

40
KiCad/TPS61030PWPR.lib Normal file
View File

@ -0,0 +1,40 @@
EESchema-LIBRARY Version 2.3
#encoding utf-8
#(c) SnapEDA 2016 (snapeda.com)
#This work is licensed under a Creative Commons Attribution-ShareAlike 4.0 International License (CC BY-SA) with Design Exception 1.0
#
# TPS61030PWPR
#
DEF TPS61030PWPR U 0 40 Y Y 1 L N
F0 "U" -500 639 50 H V L BNN
F1 "TPS61030PWPR" -500 -757 50 H V L BNN
F2 "SOP65P640X120-17N" 0 0 50 H I L BNN
F3 "" 0 0 50 H I L BNN
F4 "Texas Instruments" 0 0 50 H I L BNN "MF"
F5 "None" 0 0 50 H I L BNN "Price"
F6 "Good" 0 0 50 H I L BNN "Availability"
F7 "HTSSOP-16 Texas Instruments" 0 0 50 H I L BNN "Package"
F8 "TPS61030PWPR" 0 0 50 H I L BNN "MP"
F9 "1.8Vin, 4-A Switch, 96% Efficient Boost Converter w/20A Iq in TSSOP-16 16-HTSSOP -40 to 85" 0 0 50 H I L BNN "Description"
F10 "https://pricing.snapeda.com/search/part/TPS61030PWPR/?ref=eda" 0 0 50 H I L BNN "Purchase-URL"
DRAW
S -500 -600 500 600 0 0 16 f
X EN 9 -700 300 200 R 40 40 0 0 I
X FB 12 -700 200 200 R 40 40 0 0 I
X LBI 7 -700 100 200 R 40 40 0 0 I
X SW 1 -700 0 200 R 40 40 0 0 I
X SW 2 -700 0 200 R 40 40 0 0 I
X SYNC 8 -700 -100 200 R 40 40 0 0 I
X VBAT 6 700 500 200 L 40 40 0 0 W
X LBO 10 700 300 200 L 40 40 0 0 O
X VOUT 13 700 200 200 L 40 40 0 0 O
X VOUT 14 700 200 200 L 40 40 0 0 O
X VOUT 15 700 200 200 L 40 40 0 0 O
X PGND 3 700 -400 200 L 40 40 0 0 W
X PGND 4 700 -400 200 L 40 40 0 0 W
X PGND 5 700 -400 200 L 40 40 0 0 W
X GND 11 700 -500 200 L 40 40 0 0 W
ENDDRAW
ENDDEF
#
# End Library

2292
KiCad/bbq20kbd.kicad_sch Normal file

File diff suppressed because it is too large Load Diff

Binary file not shown.

28805
KiCad/beepberry.kicad_pcb Normal file

File diff suppressed because it is too large Load Diff

452
KiCad/beepberry.kicad_pro Normal file
View File

@ -0,0 +1,452 @@
{
"board": {
"design_settings": {
"defaults": {
"board_outline_line_width": 0.09999999999999999,
"copper_line_width": 0.19999999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.15,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.0,
"height": 1.5,
"width": 1.5
},
"silk_line_width": 0.15,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.254
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [],
"meta": {
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.127,
"min_copper_edge_clearance": 0.0,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_silk_clearance": 0.0,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.127,
"min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997,
"solder_mask_clearance": 0.0,
"solder_mask_min_width": 0.0,
"use_height_for_length_calcs": true
},
"track_widths": [
0.0,
0.127,
0.2,
0.4
],
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 0.5,
"drill": 0.3
},
{
"diameter": 0.8,
"drill": 0.4
}
],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "beepberry.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12.0,
"clearance": 0.127,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.127,
"via_diameter": 0.5,
"via_drill": 0.3,
"wire_width": 6.0
}
],
"meta": {
"version": 2
},
"net_colors": null
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "beepberry.net",
"specctra_dsn": "",
"step": "beepberry.step",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "KiCad",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": ""
},
"page_layout_descr_file": "",
"plot_directory": "Prod/",
"spice_adjust_passive_values": false,
"spice_external_command": "spice \"%I\"",
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"e63e39d7-6ac0-4ffd-8aa3-1841a4541b55",
""
],
[
"832ef58d-0dd2-4973-9055-9966f4d0abfc",
"RP2040"
],
[
"be86b99b-c594-4a8b-8e15-432781250595",
"Keyboard"
]
],
"text_variables": {}
}

7222
KiCad/beepberry.kicad_sch Normal file

File diff suppressed because it is too large Load Diff

267
KiCad/beepberry.kicad_sym Normal file
View File

@ -0,0 +1,267 @@
(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor)
(symbol "LS027B7DH01" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -6.35 13.97 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "LS027B7DH01" (id 1) (at 0 -13.97 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at -7.62 7.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at -7.62 7.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "LS027B7DH01_0_1"
(rectangle (start -7.62 12.7) (end 7.62 -12.7)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "LS027B7DH01_1_1"
(pin input line (at -10.16 11.43 0) (length 2.54)
(name "SCLK" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 -11.43 0) (length 2.54)
(name "VSSA" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 8.89 0) (length 2.54)
(name "SI" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 6.35 0) (length 2.54)
(name "SCS" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 3.81 0) (length 2.54)
(name "EXTCOMIN" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 1.27 0) (length 2.54)
(name "DISP" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 -1.27 0) (length 2.54)
(name "VDDA" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 -3.81 0) (length 2.54)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -6.35 0) (length 2.54)
(name "EXTMODE" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 -8.89 0) (length 2.54)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "TPS61030PWPR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -12.7 16.2306 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Value" "TPS61030PWPR" (id 1) (at -12.7 -19.2278 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Footprint" "SOP65P640X120-17N" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "MF" "Texas Instruments" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Price" "None" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Availability" "Good" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Package" "HTSSOP-16 Texas Instruments" (id 7) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "MP" "TPS61030PWPR" (id 8) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Description" "1.8Vin, 4-A Switch, 96% Efficient Boost Converter w/20A Iq in TSSOP-16 16-HTSSOP -40 to 85" (id 9) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "Purchase-URL" "https://pricing.snapeda.com/search/part/TPS61030PWPR/?ref=eda" (id 10) (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify left bottom) hide)
)
(property "ki_locked" "" (id 11) (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(symbol "TPS61030PWPR_0_0"
(rectangle (start -12.7 -15.24) (end 12.7 15.24)
(stroke (width 0.4064) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin input line (at -17.78 0 0) (length 5.08)
(name "SW" (effects (font (size 1.016 1.016))))
(number "1" (effects (font (size 1.016 1.016))))
)
(pin output line (at 17.78 7.62 180) (length 5.08)
(name "LBO" (effects (font (size 1.016 1.016))))
(number "10" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 17.78 -12.7 180) (length 5.08)
(name "GND" (effects (font (size 1.016 1.016))))
(number "11" (effects (font (size 1.016 1.016))))
)
(pin input line (at -17.78 5.08 0) (length 5.08)
(name "FB" (effects (font (size 1.016 1.016))))
(number "12" (effects (font (size 1.016 1.016))))
)
(pin output line (at 17.78 5.08 180) (length 5.08)
(name "VOUT" (effects (font (size 1.016 1.016))))
(number "13" (effects (font (size 1.016 1.016))))
)
(pin output line (at 17.78 5.08 180) (length 5.08)
(name "VOUT" (effects (font (size 1.016 1.016))))
(number "14" (effects (font (size 1.016 1.016))))
)
(pin output line (at 17.78 5.08 180) (length 5.08)
(name "VOUT" (effects (font (size 1.016 1.016))))
(number "15" (effects (font (size 1.016 1.016))))
)
(pin input line (at -17.78 0 0) (length 5.08)
(name "SW" (effects (font (size 1.016 1.016))))
(number "2" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 17.78 -10.16 180) (length 5.08)
(name "PGND" (effects (font (size 1.016 1.016))))
(number "3" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 17.78 -10.16 180) (length 5.08)
(name "PGND" (effects (font (size 1.016 1.016))))
(number "4" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 17.78 -10.16 180) (length 5.08)
(name "PGND" (effects (font (size 1.016 1.016))))
(number "5" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 17.78 12.7 180) (length 5.08)
(name "VBAT" (effects (font (size 1.016 1.016))))
(number "6" (effects (font (size 1.016 1.016))))
)
(pin input line (at -17.78 2.54 0) (length 5.08)
(name "LBI" (effects (font (size 1.016 1.016))))
(number "7" (effects (font (size 1.016 1.016))))
)
(pin input line (at -17.78 -2.54 0) (length 5.08)
(name "SYNC" (effects (font (size 1.016 1.016))))
(number "8" (effects (font (size 1.016 1.016))))
)
(pin input line (at -17.78 7.62 0) (length 5.08)
(name "EN" (effects (font (size 1.016 1.016))))
(number "9" (effects (font (size 1.016 1.016))))
)
)
)
(symbol "TPS61090" (in_bom yes) (on_board yes)
(property "Reference" "U2" (id 0) (at 0 19.304 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "TPS61090" (id 1) (at 0 16.764 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_SO:HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask2.46x2.31mm_ThermalVias" (id 2) (at -24.13 -26.67 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/tps61090.pdf" (id 3) (at 3.81 -29.21 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "ki_keywords" "Adjustable 2A battery boost converter" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "2A Step-Up DC-DC Converter for Batteries, Isw up to 2500mA, Adjustable output voltage, QFN-16" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Texas*S?PVQFN?N*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "TPS61090_0_0"
(pin passive line (at -10.16 12.7 0) (length 2.54)
(name "SW" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin open_collector line (at 10.16 0 180) (length 2.54)
(name "LBO" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -5.08 -15.24 90) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 2.54 180) (length 2.54)
(name "FB" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 7.62 180) (length 2.54)
(name "VOUT" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 10.16 12.7 180) (length 2.54)
(name "VOUT" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 10.16 180) (length 2.54)
(name "VOUT" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 10.16 -5.08 180) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 5.08 -15.24 90) (length 2.54)
(name "PAD" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -10.16 10.16 0) (length 2.54)
(name "SW" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 2.54 -15.24 90) (length 2.54)
(name "PGND" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -15.24 90) (length 2.54)
(name "PGND" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -2.54 -15.24 90) (length 2.54)
(name "PGND" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 5.08 0) (length 2.54)
(name "VBAT" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 0 0) (length 2.54)
(name "LBI" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -5.08 0) (length 2.54)
(name "SYNC" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 2.54 0) (length 2.54)
(name "EN" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
(symbol "TPS61090_0_1"
(rectangle (start -7.62 13.97) (end 7.62 -12.7)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
)
)
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,410 @@
ISO-10303-21;
HEADER;
/* Generated by software containing ST-Developer
* from STEP Tools, Inc. (www.steptools.com)
*/
FILE_DESCRIPTION(
/* description */ (''),
/* implementation_level */ '2;1');
FILE_NAME(
/* name */ 'NUTSMDM25H03mm.step',
/* time_stamp */ '2023-01-17T06:09:25-05:00',
/* author */ (''),
/* organization */ (''),
/* preprocessor_version */ 'ST-DEVELOPER v19.2',
/* originating_system */ 'Autodesk Translation Framework v11.17.0.187',
/* authorisation */ '');
FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }'));
ENDSEC;
DATA;
#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#13),#310);
#11=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#317,#12);
#12=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#14),#309);
#13=STYLED_ITEM('',(#327),#14);
#14=MANIFOLD_SOLID_BREP('Body1',#166);
#15=CYLINDRICAL_SURFACE('',#199,1.75000000000002);
#16=CYLINDRICAL_SURFACE('',#202,2.55000000000001);
#17=CYLINDRICAL_SURFACE('',#203,1.3);
#18=FACE_BOUND('',#36,.T.);
#19=FACE_BOUND('',#39,.T.);
#20=FACE_BOUND('',#44,.T.);
#21=PLANE('',#184);
#22=PLANE('',#190);
#23=PLANE('',#201);
#24=FACE_OUTER_BOUND('',#34,.T.);
#25=FACE_OUTER_BOUND('',#35,.T.);
#26=FACE_OUTER_BOUND('',#37,.T.);
#27=FACE_OUTER_BOUND('',#38,.T.);
#28=FACE_OUTER_BOUND('',#40,.T.);
#29=FACE_OUTER_BOUND('',#41,.T.);
#30=FACE_OUTER_BOUND('',#42,.T.);
#31=FACE_OUTER_BOUND('',#43,.T.);
#32=FACE_OUTER_BOUND('',#45,.T.);
#33=FACE_OUTER_BOUND('',#46,.T.);
#34=EDGE_LOOP('',(#110,#111,#112,#113,#114));
#35=EDGE_LOOP('',(#115));
#36=EDGE_LOOP('',(#116));
#37=EDGE_LOOP('',(#117,#118,#119,#120,#121));
#38=EDGE_LOOP('',(#122));
#39=EDGE_LOOP('',(#123));
#40=EDGE_LOOP('',(#124,#125,#126,#127,#128));
#41=EDGE_LOOP('',(#129,#130,#131,#132,#133));
#42=EDGE_LOOP('',(#134,#135,#136,#137,#138));
#43=EDGE_LOOP('',(#139));
#44=EDGE_LOOP('',(#140));
#45=EDGE_LOOP('',(#141,#142,#143,#144,#145,#146));
#46=EDGE_LOOP('',(#147,#148,#149,#150,#151));
#47=LINE('',#266,#54);
#48=LINE('',#278,#55);
#49=LINE('',#288,#56);
#50=LINE('',#295,#57);
#51=LINE('',#300,#58);
#52=LINE('',#304,#59);
#53=LINE('',#306,#60);
#54=VECTOR('',#210,2.53000000001417);
#55=VECTOR('',#223,0.143099190181042);
#56=VECTOR('',#236,1.7300000000093);
#57=VECTOR('',#243,2.53000000001398);
#58=VECTOR('',#250,1.75000000000002);
#59=VECTOR('',#257,2.55000000000001);
#60=VECTOR('',#260,1.3);
#61=CIRCLE('',#181,2.3500000001407);
#62=CIRCLE('',#182,2.55);
#63=CIRCLE('',#183,2.55);
#64=CIRCLE('',#185,1.3);
#65=CIRCLE('',#187,1.3);
#66=CIRCLE('',#188,1.3008);
#67=CIRCLE('',#189,1.3);
#68=CIRCLE('',#191,1.5500000000928);
#69=CIRCLE('',#193,1.75);
#70=CIRCLE('',#194,1.75);
#71=CIRCLE('',#196,2.55);
#72=CIRCLE('',#197,2.3500000001407);
#73=CIRCLE('',#198,2.55);
#74=CIRCLE('',#200,1.75000000000001);
#75=VERTEX_POINT('',#263);
#76=VERTEX_POINT('',#265);
#77=VERTEX_POINT('',#267);
#78=VERTEX_POINT('',#271);
#79=VERTEX_POINT('',#274);
#80=VERTEX_POINT('',#275);
#81=VERTEX_POINT('',#277);
#82=VERTEX_POINT('',#282);
#83=VERTEX_POINT('',#285);
#84=VERTEX_POINT('',#286);
#85=VERTEX_POINT('',#291);
#86=VERTEX_POINT('',#292);
#87=VERTEX_POINT('',#294);
#88=VERTEX_POINT('',#299);
#89=EDGE_CURVE('',#75,#75,#61,.T.);
#90=EDGE_CURVE('',#75,#76,#47,.T.);
#91=EDGE_CURVE('',#76,#77,#62,.T.);
#92=EDGE_CURVE('',#77,#76,#63,.T.);
#93=EDGE_CURVE('',#78,#78,#64,.T.);
#94=EDGE_CURVE('',#79,#80,#65,.T.);
#95=EDGE_CURVE('',#80,#81,#48,.T.);
#96=EDGE_CURVE('',#81,#81,#66,.T.);
#97=EDGE_CURVE('',#80,#79,#67,.T.);
#98=EDGE_CURVE('',#82,#82,#68,.T.);
#99=EDGE_CURVE('',#83,#84,#69,.T.);
#100=EDGE_CURVE('',#84,#82,#49,.T.);
#101=EDGE_CURVE('',#84,#83,#70,.T.);
#102=EDGE_CURVE('',#85,#86,#71,.T.);
#103=EDGE_CURVE('',#86,#87,#50,.T.);
#104=EDGE_CURVE('',#87,#87,#72,.T.);
#105=EDGE_CURVE('',#86,#85,#73,.T.);
#106=EDGE_CURVE('',#83,#88,#51,.T.);
#107=EDGE_CURVE('',#88,#88,#74,.T.);
#108=EDGE_CURVE('',#85,#77,#52,.T.);
#109=EDGE_CURVE('',#78,#79,#53,.T.);
#110=ORIENTED_EDGE('',*,*,#89,.F.);
#111=ORIENTED_EDGE('',*,*,#90,.T.);
#112=ORIENTED_EDGE('',*,*,#91,.T.);
#113=ORIENTED_EDGE('',*,*,#92,.T.);
#114=ORIENTED_EDGE('',*,*,#90,.F.);
#115=ORIENTED_EDGE('',*,*,#89,.T.);
#116=ORIENTED_EDGE('',*,*,#93,.T.);
#117=ORIENTED_EDGE('',*,*,#94,.T.);
#118=ORIENTED_EDGE('',*,*,#95,.T.);
#119=ORIENTED_EDGE('',*,*,#96,.F.);
#120=ORIENTED_EDGE('',*,*,#95,.F.);
#121=ORIENTED_EDGE('',*,*,#97,.T.);
#122=ORIENTED_EDGE('',*,*,#98,.T.);
#123=ORIENTED_EDGE('',*,*,#96,.T.);
#124=ORIENTED_EDGE('',*,*,#99,.T.);
#125=ORIENTED_EDGE('',*,*,#100,.T.);
#126=ORIENTED_EDGE('',*,*,#98,.F.);
#127=ORIENTED_EDGE('',*,*,#100,.F.);
#128=ORIENTED_EDGE('',*,*,#101,.T.);
#129=ORIENTED_EDGE('',*,*,#102,.T.);
#130=ORIENTED_EDGE('',*,*,#103,.T.);
#131=ORIENTED_EDGE('',*,*,#104,.F.);
#132=ORIENTED_EDGE('',*,*,#103,.F.);
#133=ORIENTED_EDGE('',*,*,#105,.T.);
#134=ORIENTED_EDGE('',*,*,#99,.F.);
#135=ORIENTED_EDGE('',*,*,#106,.T.);
#136=ORIENTED_EDGE('',*,*,#107,.F.);
#137=ORIENTED_EDGE('',*,*,#106,.F.);
#138=ORIENTED_EDGE('',*,*,#101,.F.);
#139=ORIENTED_EDGE('',*,*,#104,.T.);
#140=ORIENTED_EDGE('',*,*,#107,.T.);
#141=ORIENTED_EDGE('',*,*,#102,.F.);
#142=ORIENTED_EDGE('',*,*,#108,.T.);
#143=ORIENTED_EDGE('',*,*,#91,.F.);
#144=ORIENTED_EDGE('',*,*,#92,.F.);
#145=ORIENTED_EDGE('',*,*,#108,.F.);
#146=ORIENTED_EDGE('',*,*,#105,.F.);
#147=ORIENTED_EDGE('',*,*,#93,.F.);
#148=ORIENTED_EDGE('',*,*,#109,.T.);
#149=ORIENTED_EDGE('',*,*,#97,.F.);
#150=ORIENTED_EDGE('',*,*,#94,.F.);
#151=ORIENTED_EDGE('',*,*,#109,.F.);
#152=CONICAL_SURFACE('',#180,2.53000000001417,0.785398163045685);
#153=CONICAL_SURFACE('',#186,0.143099190181042,0.750491578357553);
#154=CONICAL_SURFACE('',#192,1.7300000000093,0.785398163165445);
#155=CONICAL_SURFACE('',#195,2.53000000001398,0.785398163045716);
#156=ADVANCED_FACE('',(#24),#152,.T.);
#157=ADVANCED_FACE('',(#25,#18),#21,.T.);
#158=ADVANCED_FACE('',(#26),#153,.F.);
#159=ADVANCED_FACE('',(#27,#19),#22,.T.);
#160=ADVANCED_FACE('',(#28),#154,.T.);
#161=ADVANCED_FACE('',(#29),#155,.T.);
#162=ADVANCED_FACE('',(#30),#15,.T.);
#163=ADVANCED_FACE('',(#31,#20),#23,.T.);
#164=ADVANCED_FACE('',(#32),#16,.T.);
#165=ADVANCED_FACE('',(#33),#17,.F.);
#166=CLOSED_SHELL('',(#156,#157,#158,#159,#160,#161,#162,#163,#164,#165));
#167=DERIVED_UNIT_ELEMENT(#169,1.);
#168=DERIVED_UNIT_ELEMENT(#312,-3.);
#169=(
MASS_UNIT()
NAMED_UNIT(*)
SI_UNIT(.KILO.,.GRAM.)
);
#170=DERIVED_UNIT((#167,#168));
#171=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#170);
#172=PROPERTY_DEFINITION_REPRESENTATION(#177,#174);
#173=PROPERTY_DEFINITION_REPRESENTATION(#178,#175);
#174=REPRESENTATION('material name',(#176),#309);
#175=REPRESENTATION('density',(#171),#309);
#176=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel');
#177=PROPERTY_DEFINITION('material property','material name',#319);
#178=PROPERTY_DEFINITION('material property','density of part',#319);
#179=AXIS2_PLACEMENT_3D('placement',#261,#204,#205);
#180=AXIS2_PLACEMENT_3D('',#262,#206,#207);
#181=AXIS2_PLACEMENT_3D('',#264,#208,#209);
#182=AXIS2_PLACEMENT_3D('',#268,#211,#212);
#183=AXIS2_PLACEMENT_3D('',#269,#213,#214);
#184=AXIS2_PLACEMENT_3D('',#270,#215,#216);
#185=AXIS2_PLACEMENT_3D('',#272,#217,#218);
#186=AXIS2_PLACEMENT_3D('',#273,#219,#220);
#187=AXIS2_PLACEMENT_3D('',#276,#221,#222);
#188=AXIS2_PLACEMENT_3D('',#279,#224,#225);
#189=AXIS2_PLACEMENT_3D('',#280,#226,#227);
#190=AXIS2_PLACEMENT_3D('',#281,#228,#229);
#191=AXIS2_PLACEMENT_3D('',#283,#230,#231);
#192=AXIS2_PLACEMENT_3D('',#284,#232,#233);
#193=AXIS2_PLACEMENT_3D('',#287,#234,#235);
#194=AXIS2_PLACEMENT_3D('',#289,#237,#238);
#195=AXIS2_PLACEMENT_3D('',#290,#239,#240);
#196=AXIS2_PLACEMENT_3D('',#293,#241,#242);
#197=AXIS2_PLACEMENT_3D('',#296,#244,#245);
#198=AXIS2_PLACEMENT_3D('',#297,#246,#247);
#199=AXIS2_PLACEMENT_3D('',#298,#248,#249);
#200=AXIS2_PLACEMENT_3D('',#301,#251,#252);
#201=AXIS2_PLACEMENT_3D('',#302,#253,#254);
#202=AXIS2_PLACEMENT_3D('',#303,#255,#256);
#203=AXIS2_PLACEMENT_3D('',#305,#258,#259);
#204=DIRECTION('axis',(0.,0.,1.));
#205=DIRECTION('refdir',(1.,0.,0.));
#206=DIRECTION('center_axis',(-7.03280044619164E-14,-5.40155845193333E-14,
-1.));
#207=DIRECTION('ref_axis',(-0.813826035576989,-0.581108581778863,8.86236807752683E-14));
#208=DIRECTION('center_axis',(0.,0.,1.));
#209=DIRECTION('ref_axis',(-0.813826036051076,0.581108581114918,0.));
#210=DIRECTION('',(0.575461908260177,0.410905818636952,-0.707106781435345));
#211=DIRECTION('center_axis',(1.19059514784731E-18,7.48844423556308E-16,
1.));
#212=DIRECTION('ref_axis',(-0.813826036357187,0.581108580686217,-4.35381578284375E-16));
#213=DIRECTION('center_axis',(1.19059514784731E-18,7.48844423556308E-16,
1.));
#214=DIRECTION('ref_axis',(-0.813826036357187,0.581108580686217,-4.35381578284375E-16));
#215=DIRECTION('center_axis',(0.,0.,1.));
#216=DIRECTION('ref_axis',(-1.,0.,0.));
#217=DIRECTION('center_axis',(1.05316510495365E-16,-7.01076660432767E-16,
-1.));
#218=DIRECTION('ref_axis',(0.813826036051083,0.581108581114908,-4.27008855625061E-16));
#219=DIRECTION('center_axis',(3.02726742337382E-15,5.53000912883941E-15,
-1.));
#220=DIRECTION('ref_axis',(0.581108581114934,0.813826036051064,6.2596364857018E-15));
#221=DIRECTION('center_axis',(0.,0.,1.));
#222=DIRECTION('ref_axis',(-1.,0.,0.));
#223=DIRECTION('',(-0.396315099338624,-0.55502802196298,-0.731353701619181));
#224=DIRECTION('center_axis',(0.,0.,1.));
#225=DIRECTION('ref_axis',(1.,2.05040284129343E-16,0.));
#226=DIRECTION('center_axis',(0.,0.,1.));
#227=DIRECTION('ref_axis',(-1.,0.,0.));
#228=DIRECTION('center_axis',(0.,0.,-1.));
#229=DIRECTION('ref_axis',(1.,0.,0.));
#230=DIRECTION('center_axis',(8.73506157312777E-17,2.79851492691266E-17,
-1.));
#231=DIRECTION('ref_axis',(-0.813826036051073,-0.581108581114921,0.));
#232=DIRECTION('center_axis',(-1.81643870638297E-14,1.22171947790312E-14,
1.));
#233=DIRECTION('ref_axis',(-0.813826036526917,0.581108580448516,-2.18821678452032E-14));
#234=DIRECTION('center_axis',(3.8683844111882E-17,1.23934232484981E-17,
-1.));
#235=DIRECTION('ref_axis',(-0.813826036051075,-0.58110858111492,0.));
#236=DIRECTION('',(-0.575461909000832,0.410905817745494,-0.707106781350614));
#237=DIRECTION('center_axis',(3.8683844111882E-17,1.23934232484981E-17,
-1.));
#238=DIRECTION('ref_axis',(-0.813826036051075,-0.58110858111492,0.));
#239=DIRECTION('center_axis',(7.49894112534916E-14,-6.71872554890955E-14,
1.));
#240=DIRECTION('ref_axis',(-0.813826036525165,0.581108580450969,1.0007142600345E-13));
#241=DIRECTION('center_axis',(-6.63693403880328E-18,-2.12632261616391E-18,
-1.));
#242=DIRECTION('ref_axis',(-0.813826036051073,-0.581108581114922,0.));
#243=DIRECTION('',(-0.57546190893076,0.410905817698087,-0.707106781435189));
#244=DIRECTION('center_axis',(-1.04395812572974E-16,4.66928638299688E-17,
-1.));
#245=DIRECTION('ref_axis',(-0.813826036051076,-0.581108581114918,5.78264064781691E-17));
#246=DIRECTION('center_axis',(-6.63693403880328E-18,-2.12632261616391E-18,
-1.));
#247=DIRECTION('ref_axis',(-0.813826036051073,-0.581108581114922,0.));
#248=DIRECTION('center_axis',(0.,0.,-1.));
#249=DIRECTION('ref_axis',(1.,3.58878276270577E-15,0.));
#250=DIRECTION('',(0.,0.,1.));
#251=DIRECTION('center_axis',(1.79606417855595E-16,1.38109434457443E-17,
1.));
#252=DIRECTION('ref_axis',(-0.81382603605108,0.581108581114911,1.38142721343138E-16));
#253=DIRECTION('center_axis',(0.,0.,-1.));
#254=DIRECTION('ref_axis',(1.,0.,0.));
#255=DIRECTION('center_axis',(0.,0.,-1.));
#256=DIRECTION('ref_axis',(1.,1.23144506563433E-15,0.));
#257=DIRECTION('',(0.,0.,1.));
#258=DIRECTION('center_axis',(0.,0.,-1.));
#259=DIRECTION('ref_axis',(-1.,0.,0.));
#260=DIRECTION('',(0.,0.,-1.));
#261=CARTESIAN_POINT('',(0.,0.,0.));
#262=CARTESIAN_POINT('Origin',(50.0000000000001,-3.80251385934116E-14,4.81999999999977));
#263=CARTESIAN_POINT('',(51.9124911837204,1.36560516726193,4.99999999999991));
#264=CARTESIAN_POINT('Origin',(50.,1.82260242674404E-15,5.));
#265=CARTESIAN_POINT('',(52.0752563907215,1.48182688353613,4.79999999999954));
#266=CARTESIAN_POINT('',(52.0589798700215,1.47020471190872,4.81999999999955));
#267=CARTESIAN_POINT('',(47.45,-1.1544030223994E-9,4.8));
#268=CARTESIAN_POINT('Origin',(50.,1.7074754378994E-14,4.8));
#269=CARTESIAN_POINT('Origin',(50.,1.7074754378994E-14,4.8));
#270=CARTESIAN_POINT('Origin',(47.6029999998565,-2.39700000014351,5.));
#271=CARTESIAN_POINT('',(51.3,-3.39900059741163E-16,5.));
#272=CARTESIAN_POINT('Origin',(50.,1.37597337515341E-14,5.));
#273=CARTESIAN_POINT('Origin',(50.,-4.75314232417645E-15,1.84148212402009));
#274=CARTESIAN_POINT('',(51.3,1.98180651063319E-15,0.600857894968003));
#275=CARTESIAN_POINT('',(49.2445588445506,-1.05797384686638,0.600857894968001));
#276=CARTESIAN_POINT('Origin',(50.,1.82260242674404E-15,0.600857894968003));
#277=CARTESIAN_POINT('',(49.2440939576857,-1.05862490769522,0.599999999999975));
#278=CARTESIAN_POINT('',(49.9168438326352,-0.116457846707159,1.84148212402009));
#279=CARTESIAN_POINT('Origin',(50.,-2.6671640159545E-16,0.6));
#280=CARTESIAN_POINT('Origin',(50.,1.82260242674404E-15,0.600857894968003));
#281=CARTESIAN_POINT('Origin',(48.4189999999053,1.58100000009466,0.6));
#282=CARTESIAN_POINT('',(51.2614303566922,-0.900718299749103,0.600000000000014));
#283=CARTESIAN_POINT('Origin',(50.,1.86130227548988E-15,0.6));
#284=CARTESIAN_POINT('Origin',(50.,6.24500451351651E-15,0.780000000000038));
#285=CARTESIAN_POINT('',(48.25,-7.86995195103301E-10,0.8));
#286=CARTESIAN_POINT('',(51.4241955639221,-1.01694001578491,0.800000000000075));
#287=CARTESIAN_POINT('Origin',(50.,-1.83282449341332E-15,0.8));
#288=CARTESIAN_POINT('',(51.4079190431992,-1.00531784418133,0.780000000000076));
#289=CARTESIAN_POINT('Origin',(50.,-1.83282449341332E-15,0.8));
#290=CARTESIAN_POINT('Origin',(49.9999999999999,-3.60822483003176E-14,2.17999999999975));
#291=CARTESIAN_POINT('',(47.45,-1.14676442715052E-9,2.2));
#292=CARTESIAN_POINT('',(52.0752563931393,-1.48182688015017,2.19999999999986));
#293=CARTESIAN_POINT('Origin',(50.,6.68546406830741E-15,2.2));
#294=CARTESIAN_POINT('',(51.9124911859484,-1.36560516414149,1.99999999999949));
#295=CARTESIAN_POINT('',(52.0589798724199,-1.47020470854911,2.17999999999949));
#296=CARTESIAN_POINT('Origin',(50.,-9.95597248009068E-16,2.));
#297=CARTESIAN_POINT('Origin',(50.,6.68546406830741E-15,2.2));
#298=CARTESIAN_POINT('Origin',(50.,1.21363558148461E-15,0.448));
#299=CARTESIAN_POINT('',(48.25,0.,2.));
#300=CARTESIAN_POINT('',(48.25,-4.85242106339978E-15,0.448));
#301=CARTESIAN_POINT('Origin',(50.,1.10478587519635E-14,2.));
#302=CARTESIAN_POINT('Origin',(53.072,-3.072,2.));
#303=CARTESIAN_POINT('Origin',(50.,7.96204532888399E-15,0.988));
#304=CARTESIAN_POINT('',(47.45,5.13414534529901E-15,0.988));
#305=CARTESIAN_POINT('Origin',(50.,1.82260242674404E-15,7.));
#306=CARTESIAN_POINT('',(51.3,1.66339834285488E-15,7.));
#307=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#311,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#308=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#311,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#309=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#307))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#311,#313,#314))
REPRESENTATION_CONTEXT('','3D')
);
#310=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#308))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#311,#313,#314))
REPRESENTATION_CONTEXT('','3D')
);
#311=(
LENGTH_UNIT()
NAMED_UNIT(*)
SI_UNIT(.MILLI.,.METRE.)
);
#312=(
LENGTH_UNIT()
NAMED_UNIT(*)
SI_UNIT($,.METRE.)
);
#313=(
NAMED_UNIT(*)
PLANE_ANGLE_UNIT()
SI_UNIT($,.RADIAN.)
);
#314=(
NAMED_UNIT(*)
SI_UNIT($,.STERADIAN.)
SOLID_ANGLE_UNIT()
);
#315=SHAPE_DEFINITION_REPRESENTATION(#316,#317);
#316=PRODUCT_DEFINITION_SHAPE('',$,#319);
#317=SHAPE_REPRESENTATION('',(#179),#309);
#318=PRODUCT_DEFINITION_CONTEXT('part definition',#323,'design');
#319=PRODUCT_DEFINITION('(Unsaved)','(Unsaved)',#320,#318);
#320=PRODUCT_DEFINITION_FORMATION('',$,#325);
#321=PRODUCT_RELATED_PRODUCT_CATEGORY('(Unsaved)','(Unsaved)',(#325));
#322=APPLICATION_PROTOCOL_DEFINITION('international standard',
'automotive_design',2009,#323);
#323=APPLICATION_CONTEXT(
'Core Data for Automotive Mechanical Design Process');
#324=PRODUCT_CONTEXT('part definition',#323,'mechanical');
#325=PRODUCT('(Unsaved)','(Unsaved)',$,(#324));
#326=PRESENTATION_STYLE_ASSIGNMENT((#328));
#327=PRESENTATION_STYLE_ASSIGNMENT((#329));
#328=SURFACE_STYLE_USAGE(.BOTH.,#330);
#329=SURFACE_STYLE_USAGE(.BOTH.,#331);
#330=SURFACE_SIDE_STYLE('',(#332));
#331=SURFACE_SIDE_STYLE('',(#333));
#332=SURFACE_STYLE_FILL_AREA(#334);
#333=SURFACE_STYLE_FILL_AREA(#335);
#334=FILL_AREA_STYLE('Steel - Satin',(#336));
#335=FILL_AREA_STYLE('Opaque(209,191,191)',(#337));
#336=FILL_AREA_STYLE_COLOUR('Steel - Satin',#338);
#337=FILL_AREA_STYLE_COLOUR('Opaque(209,191,191)',#339);
#338=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157,0.627450980392157);
#339=COLOUR_RGB('Opaque(209,191,191)',0.819607843137255,0.749019607843137,
0.749019607843137);
ENDSEC;
END-ISO-10303-21;

View File

@ -0,0 +1,410 @@
ISO-10303-21;
HEADER;
/* Generated by software containing ST-Developer
* from STEP Tools, Inc. (www.steptools.com)
*/
FILE_DESCRIPTION(
/* description */ (''),
/* implementation_level */ '2;1');
FILE_NAME(
/* name */ 'NUT SMD M2,5 H05mm.step',
/* time_stamp */ '2023-01-17T05:45:36-05:00',
/* author */ (''),
/* organization */ (''),
/* preprocessor_version */ 'ST-DEVELOPER v19.2',
/* originating_system */ 'Autodesk Translation Framework v11.17.0.187',
/* authorisation */ '');
FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }'));
ENDSEC;
DATA;
#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#13),#310);
#11=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#317,#12);
#12=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#14),#309);
#13=STYLED_ITEM('',(#327),#14);
#14=MANIFOLD_SOLID_BREP('Body1',#166);
#15=CONICAL_SURFACE('',#190,2.53000000001398,0.785398163045716);
#16=CONICAL_SURFACE('',#193,1.7300000000093,0.785398163165445);
#17=CONICAL_SURFACE('',#200,2.53000000001417,0.785398163045685);
#18=CONICAL_SURFACE('',#203,0.143099190181042,0.750491578357553);
#19=FACE_BOUND('',#37,.T.);
#20=FACE_BOUND('',#42,.T.);
#21=FACE_BOUND('',#46,.T.);
#22=PLANE('',#184);
#23=PLANE('',#195);
#24=PLANE('',#202);
#25=FACE_OUTER_BOUND('',#35,.T.);
#26=FACE_OUTER_BOUND('',#36,.T.);
#27=FACE_OUTER_BOUND('',#38,.T.);
#28=FACE_OUTER_BOUND('',#39,.T.);
#29=FACE_OUTER_BOUND('',#40,.T.);
#30=FACE_OUTER_BOUND('',#41,.T.);
#31=FACE_OUTER_BOUND('',#43,.T.);
#32=FACE_OUTER_BOUND('',#44,.T.);
#33=FACE_OUTER_BOUND('',#45,.T.);
#34=FACE_OUTER_BOUND('',#47,.T.);
#35=EDGE_LOOP('',(#111,#112,#113,#114,#115));
#36=EDGE_LOOP('',(#116));
#37=EDGE_LOOP('',(#117));
#38=EDGE_LOOP('',(#118,#119,#120,#121,#122));
#39=EDGE_LOOP('',(#123,#124,#125,#126,#127));
#40=EDGE_LOOP('',(#128,#129,#130,#131,#132));
#41=EDGE_LOOP('',(#133));
#42=EDGE_LOOP('',(#134));
#43=EDGE_LOOP('',(#135,#136,#137,#138,#139,#140));
#44=EDGE_LOOP('',(#141,#142,#143,#144,#145));
#45=EDGE_LOOP('',(#146));
#46=EDGE_LOOP('',(#147));
#47=EDGE_LOOP('',(#148,#149,#150,#151,#152));
#48=LINE('',#266,#55);
#49=LINE('',#279,#56);
#50=LINE('',#285,#57);
#51=LINE('',#289,#58);
#52=LINE('',#296,#59);
#53=LINE('',#303,#60);
#54=LINE('',#306,#61);
#55=VECTOR('',#210,1.3);
#56=VECTOR('',#225,1.75000000000002);
#57=VECTOR('',#232,2.53000000001398);
#58=VECTOR('',#237,1.7300000000093);
#59=VECTOR('',#246,2.55000000000001);
#60=VECTOR('',#255,2.53000000001417);
#61=VECTOR('',#260,0.143099190181042);
#62=CIRCLE('',#181,1.3);
#63=CIRCLE('',#182,1.3);
#64=CIRCLE('',#183,1.3);
#65=CIRCLE('',#185,2.3500000001407);
#66=CIRCLE('',#186,1.75000000000001);
#67=CIRCLE('',#188,1.75);
#68=CIRCLE('',#189,1.75);
#69=CIRCLE('',#191,2.55);
#70=CIRCLE('',#192,2.55);
#71=CIRCLE('',#194,1.5500000000928);
#72=CIRCLE('',#196,1.3008);
#73=CIRCLE('',#198,2.55);
#74=CIRCLE('',#199,2.55);
#75=CIRCLE('',#201,2.3500000001407);
#76=VERTEX_POINT('',#263);
#77=VERTEX_POINT('',#265);
#78=VERTEX_POINT('',#267);
#79=VERTEX_POINT('',#271);
#80=VERTEX_POINT('',#273);
#81=VERTEX_POINT('',#276);
#82=VERTEX_POINT('',#277);
#83=VERTEX_POINT('',#282);
#84=VERTEX_POINT('',#283);
#85=VERTEX_POINT('',#288);
#86=VERTEX_POINT('',#292);
#87=VERTEX_POINT('',#295);
#88=VERTEX_POINT('',#297);
#89=VERTEX_POINT('',#301);
#90=EDGE_CURVE('',#76,#76,#62,.T.);
#91=EDGE_CURVE('',#76,#77,#48,.T.);
#92=EDGE_CURVE('',#78,#77,#63,.T.);
#93=EDGE_CURVE('',#77,#78,#64,.T.);
#94=EDGE_CURVE('',#79,#79,#65,.T.);
#95=EDGE_CURVE('',#80,#80,#66,.T.);
#96=EDGE_CURVE('',#81,#82,#67,.T.);
#97=EDGE_CURVE('',#81,#80,#49,.T.);
#98=EDGE_CURVE('',#82,#81,#68,.T.);
#99=EDGE_CURVE('',#83,#84,#69,.T.);
#100=EDGE_CURVE('',#84,#79,#50,.T.);
#101=EDGE_CURVE('',#84,#83,#70,.T.);
#102=EDGE_CURVE('',#82,#85,#51,.T.);
#103=EDGE_CURVE('',#85,#85,#71,.T.);
#104=EDGE_CURVE('',#86,#86,#72,.T.);
#105=EDGE_CURVE('',#83,#87,#52,.T.);
#106=EDGE_CURVE('',#88,#87,#73,.T.);
#107=EDGE_CURVE('',#87,#88,#74,.T.);
#108=EDGE_CURVE('',#89,#89,#75,.T.);
#109=EDGE_CURVE('',#89,#88,#53,.T.);
#110=EDGE_CURVE('',#78,#86,#54,.T.);
#111=ORIENTED_EDGE('',*,*,#90,.F.);
#112=ORIENTED_EDGE('',*,*,#91,.T.);
#113=ORIENTED_EDGE('',*,*,#92,.F.);
#114=ORIENTED_EDGE('',*,*,#93,.F.);
#115=ORIENTED_EDGE('',*,*,#91,.F.);
#116=ORIENTED_EDGE('',*,*,#94,.T.);
#117=ORIENTED_EDGE('',*,*,#95,.T.);
#118=ORIENTED_EDGE('',*,*,#96,.F.);
#119=ORIENTED_EDGE('',*,*,#97,.T.);
#120=ORIENTED_EDGE('',*,*,#95,.F.);
#121=ORIENTED_EDGE('',*,*,#97,.F.);
#122=ORIENTED_EDGE('',*,*,#98,.F.);
#123=ORIENTED_EDGE('',*,*,#99,.T.);
#124=ORIENTED_EDGE('',*,*,#100,.T.);
#125=ORIENTED_EDGE('',*,*,#94,.F.);
#126=ORIENTED_EDGE('',*,*,#100,.F.);
#127=ORIENTED_EDGE('',*,*,#101,.T.);
#128=ORIENTED_EDGE('',*,*,#96,.T.);
#129=ORIENTED_EDGE('',*,*,#102,.T.);
#130=ORIENTED_EDGE('',*,*,#103,.F.);
#131=ORIENTED_EDGE('',*,*,#102,.F.);
#132=ORIENTED_EDGE('',*,*,#98,.T.);
#133=ORIENTED_EDGE('',*,*,#103,.T.);
#134=ORIENTED_EDGE('',*,*,#104,.T.);
#135=ORIENTED_EDGE('',*,*,#99,.F.);
#136=ORIENTED_EDGE('',*,*,#105,.T.);
#137=ORIENTED_EDGE('',*,*,#106,.F.);
#138=ORIENTED_EDGE('',*,*,#107,.F.);
#139=ORIENTED_EDGE('',*,*,#105,.F.);
#140=ORIENTED_EDGE('',*,*,#101,.F.);
#141=ORIENTED_EDGE('',*,*,#108,.F.);
#142=ORIENTED_EDGE('',*,*,#109,.T.);
#143=ORIENTED_EDGE('',*,*,#106,.T.);
#144=ORIENTED_EDGE('',*,*,#107,.T.);
#145=ORIENTED_EDGE('',*,*,#109,.F.);
#146=ORIENTED_EDGE('',*,*,#108,.T.);
#147=ORIENTED_EDGE('',*,*,#90,.T.);
#148=ORIENTED_EDGE('',*,*,#93,.T.);
#149=ORIENTED_EDGE('',*,*,#110,.T.);
#150=ORIENTED_EDGE('',*,*,#104,.F.);
#151=ORIENTED_EDGE('',*,*,#110,.F.);
#152=ORIENTED_EDGE('',*,*,#92,.T.);
#153=CYLINDRICAL_SURFACE('',#180,1.3);
#154=CYLINDRICAL_SURFACE('',#187,1.75000000000002);
#155=CYLINDRICAL_SURFACE('',#197,2.55000000000001);
#156=ADVANCED_FACE('',(#25),#153,.F.);
#157=ADVANCED_FACE('',(#26,#19),#22,.T.);
#158=ADVANCED_FACE('',(#27),#154,.T.);
#159=ADVANCED_FACE('',(#28),#15,.T.);
#160=ADVANCED_FACE('',(#29),#16,.T.);
#161=ADVANCED_FACE('',(#30,#20),#23,.T.);
#162=ADVANCED_FACE('',(#31),#155,.T.);
#163=ADVANCED_FACE('',(#32),#17,.T.);
#164=ADVANCED_FACE('',(#33,#21),#24,.T.);
#165=ADVANCED_FACE('',(#34),#18,.F.);
#166=CLOSED_SHELL('',(#156,#157,#158,#159,#160,#161,#162,#163,#164,#165));
#167=DERIVED_UNIT_ELEMENT(#169,1.);
#168=DERIVED_UNIT_ELEMENT(#312,-3.);
#169=(
MASS_UNIT()
NAMED_UNIT(*)
SI_UNIT(.KILO.,.GRAM.)
);
#170=DERIVED_UNIT((#167,#168));
#171=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#170);
#172=PROPERTY_DEFINITION_REPRESENTATION(#177,#174);
#173=PROPERTY_DEFINITION_REPRESENTATION(#178,#175);
#174=REPRESENTATION('material name',(#176),#309);
#175=REPRESENTATION('density',(#171),#309);
#176=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel');
#177=PROPERTY_DEFINITION('material property','material name',#319);
#178=PROPERTY_DEFINITION('material property','density of part',#319);
#179=AXIS2_PLACEMENT_3D('placement',#261,#204,#205);
#180=AXIS2_PLACEMENT_3D('',#262,#206,#207);
#181=AXIS2_PLACEMENT_3D('',#264,#208,#209);
#182=AXIS2_PLACEMENT_3D('',#268,#211,#212);
#183=AXIS2_PLACEMENT_3D('',#269,#213,#214);
#184=AXIS2_PLACEMENT_3D('',#270,#215,#216);
#185=AXIS2_PLACEMENT_3D('',#272,#217,#218);
#186=AXIS2_PLACEMENT_3D('',#274,#219,#220);
#187=AXIS2_PLACEMENT_3D('',#275,#221,#222);
#188=AXIS2_PLACEMENT_3D('',#278,#223,#224);
#189=AXIS2_PLACEMENT_3D('',#280,#226,#227);
#190=AXIS2_PLACEMENT_3D('',#281,#228,#229);
#191=AXIS2_PLACEMENT_3D('',#284,#230,#231);
#192=AXIS2_PLACEMENT_3D('',#286,#233,#234);
#193=AXIS2_PLACEMENT_3D('',#287,#235,#236);
#194=AXIS2_PLACEMENT_3D('',#290,#238,#239);
#195=AXIS2_PLACEMENT_3D('',#291,#240,#241);
#196=AXIS2_PLACEMENT_3D('',#293,#242,#243);
#197=AXIS2_PLACEMENT_3D('',#294,#244,#245);
#198=AXIS2_PLACEMENT_3D('',#298,#247,#248);
#199=AXIS2_PLACEMENT_3D('',#299,#249,#250);
#200=AXIS2_PLACEMENT_3D('',#300,#251,#252);
#201=AXIS2_PLACEMENT_3D('',#302,#253,#254);
#202=AXIS2_PLACEMENT_3D('',#304,#256,#257);
#203=AXIS2_PLACEMENT_3D('',#305,#258,#259);
#204=DIRECTION('axis',(0.,0.,1.));
#205=DIRECTION('refdir',(1.,0.,0.));
#206=DIRECTION('center_axis',(0.,0.,-1.));
#207=DIRECTION('ref_axis',(-1.,0.,0.));
#208=DIRECTION('center_axis',(1.05316510495365E-16,-7.01076660432767E-16,
-1.));
#209=DIRECTION('ref_axis',(0.813826036051083,0.581108581114908,-4.27008855625061E-16));
#210=DIRECTION('',(0.,0.,-1.));
#211=DIRECTION('center_axis',(0.,0.,1.));
#212=DIRECTION('ref_axis',(-1.,0.,0.));
#213=DIRECTION('center_axis',(0.,0.,1.));
#214=DIRECTION('ref_axis',(-1.,0.,0.));
#215=DIRECTION('center_axis',(0.,0.,-1.));
#216=DIRECTION('ref_axis',(1.,0.,0.));
#217=DIRECTION('center_axis',(-1.04395812572974E-16,4.66928638299688E-17,
-1.));
#218=DIRECTION('ref_axis',(-0.813826036051076,-0.581108581114918,5.78264064781691E-17));
#219=DIRECTION('center_axis',(1.79606417855595E-16,1.38109434457443E-17,
1.));
#220=DIRECTION('ref_axis',(-0.81382603605108,0.581108581114911,1.38142721343138E-16));
#221=DIRECTION('center_axis',(0.,0.,-1.));
#222=DIRECTION('ref_axis',(1.,3.58878276270577E-15,0.));
#223=DIRECTION('center_axis',(3.8683844111882E-17,1.23934232484981E-17,
-1.));
#224=DIRECTION('ref_axis',(-0.813826036051075,-0.58110858111492,0.));
#225=DIRECTION('',(0.,0.,1.));
#226=DIRECTION('center_axis',(3.8683844111882E-17,1.23934232484981E-17,
-1.));
#227=DIRECTION('ref_axis',(-0.813826036051075,-0.58110858111492,0.));
#228=DIRECTION('center_axis',(7.49894112534916E-14,-6.71872554890955E-14,
1.));
#229=DIRECTION('ref_axis',(-0.813826036525165,0.581108580450969,1.0007142600345E-13));
#230=DIRECTION('center_axis',(-6.63693403880328E-18,-2.12632261616391E-18,
-1.));
#231=DIRECTION('ref_axis',(-0.813826036051073,-0.581108581114922,0.));
#232=DIRECTION('',(-0.57546190893076,0.410905817698087,-0.707106781435189));
#233=DIRECTION('center_axis',(-6.63693403880328E-18,-2.12632261616391E-18,
-1.));
#234=DIRECTION('ref_axis',(-0.813826036051073,-0.581108581114922,0.));
#235=DIRECTION('center_axis',(-1.81643870638297E-14,1.22171947790312E-14,
1.));
#236=DIRECTION('ref_axis',(-0.813826036526917,0.581108580448516,-2.18821678452032E-14));
#237=DIRECTION('',(-0.575461909000832,0.410905817745494,-0.707106781350614));
#238=DIRECTION('center_axis',(8.73506157312777E-17,2.79851492691266E-17,
-1.));
#239=DIRECTION('ref_axis',(-0.813826036051073,-0.581108581114921,0.));
#240=DIRECTION('center_axis',(0.,0.,-1.));
#241=DIRECTION('ref_axis',(1.,0.,0.));
#242=DIRECTION('center_axis',(0.,0.,1.));
#243=DIRECTION('ref_axis',(1.,2.05040284129343E-16,0.));
#244=DIRECTION('center_axis',(0.,0.,-1.));
#245=DIRECTION('ref_axis',(1.,1.23144506563433E-15,0.));
#246=DIRECTION('',(0.,0.,1.));
#247=DIRECTION('center_axis',(1.19059514784731E-18,7.48844423556308E-16,
1.));
#248=DIRECTION('ref_axis',(-0.813826036357187,0.581108580686217,-4.35381578284375E-16));
#249=DIRECTION('center_axis',(1.19059514784731E-18,7.48844423556308E-16,
1.));
#250=DIRECTION('ref_axis',(-0.813826036357187,0.581108580686217,-4.35381578284375E-16));
#251=DIRECTION('center_axis',(-7.03280044619164E-14,-5.40155845193333E-14,
-1.));
#252=DIRECTION('ref_axis',(-0.813826035576989,-0.581108581778863,8.86236807752683E-14));
#253=DIRECTION('center_axis',(0.,0.,1.));
#254=DIRECTION('ref_axis',(-0.813826036051076,0.581108581114918,0.));
#255=DIRECTION('',(0.575461908260177,0.410905818636952,-0.707106781435345));
#256=DIRECTION('center_axis',(0.,0.,1.));
#257=DIRECTION('ref_axis',(-1.,0.,0.));
#258=DIRECTION('center_axis',(3.02726742337382E-15,5.53000912883941E-15,
-1.));
#259=DIRECTION('ref_axis',(0.581108581114934,0.813826036051064,6.2596364857018E-15));
#260=DIRECTION('',(-0.396315099338624,-0.55502802196298,-0.731353701619181));
#261=CARTESIAN_POINT('',(0.,0.,0.));
#262=CARTESIAN_POINT('Origin',(50.,1.82260242674404E-15,5.));
#263=CARTESIAN_POINT('',(51.3,-3.39900059741163E-16,5.));
#264=CARTESIAN_POINT('Origin',(50.,1.37597337515341E-14,5.));
#265=CARTESIAN_POINT('',(51.3,1.98180651063319E-15,-1.399142105032));
#266=CARTESIAN_POINT('',(51.3,1.66339834285488E-15,5.));
#267=CARTESIAN_POINT('',(49.2445588445506,-1.05797384686638,-1.399142105032));
#268=CARTESIAN_POINT('Origin',(50.,1.82260242674404E-15,-1.399142105032));
#269=CARTESIAN_POINT('Origin',(50.,1.82260242674404E-15,-1.399142105032));
#270=CARTESIAN_POINT('Origin',(53.072,-3.072,-1.15619630322869E-15));
#271=CARTESIAN_POINT('',(51.9124911859484,-1.36560516414149,-5.06504560515708E-13));
#272=CARTESIAN_POINT('Origin',(50.,-9.95597248009068E-16,-1.01465003588576E-16));
#273=CARTESIAN_POINT('',(48.25,0.,-1.40741641725095E-17));
#274=CARTESIAN_POINT('Origin',(50.,1.10478587519635E-14,-3.28385395419802E-16));
#275=CARTESIAN_POINT('Origin',(50.,1.21363558148461E-15,-1.552));
#276=CARTESIAN_POINT('',(48.25,-7.86995195103301E-10,-1.2));
#277=CARTESIAN_POINT('',(51.4241955639221,-1.01694001578491,-1.19999999999993));
#278=CARTESIAN_POINT('Origin',(50.,-1.83282449341332E-15,-1.2));
#279=CARTESIAN_POINT('',(48.25,-4.85242106339978E-15,-1.552));
#280=CARTESIAN_POINT('Origin',(50.,-1.83282449341332E-15,-1.2));
#281=CARTESIAN_POINT('Origin',(49.9999999999999,-3.60822483003176E-14,0.179999999999747));
#282=CARTESIAN_POINT('',(47.45,-1.14676442715052E-9,0.2));
#283=CARTESIAN_POINT('',(52.0752563931393,-1.48182688015017,0.199999999999857));
#284=CARTESIAN_POINT('Origin',(50.,6.68546406830741E-15,0.2));
#285=CARTESIAN_POINT('',(52.0589798724199,-1.47020470854911,0.179999999999494));
#286=CARTESIAN_POINT('Origin',(50.,6.68546406830741E-15,0.2));
#287=CARTESIAN_POINT('Origin',(50.,6.24500451351651E-15,-1.21999999999996));
#288=CARTESIAN_POINT('',(51.2614303566922,-0.900718299749103,-1.39999999999999));
#289=CARTESIAN_POINT('',(51.4079190431992,-1.00531784418133,-1.21999999999992));
#290=CARTESIAN_POINT('Origin',(50.,1.86130227548988E-15,-1.4));
#291=CARTESIAN_POINT('Origin',(48.4189999999053,1.58100000009466,-1.4));
#292=CARTESIAN_POINT('',(49.2440939576857,-1.05862490769522,-1.40000000000003));
#293=CARTESIAN_POINT('Origin',(50.,-2.6671640159545E-16,-1.4));
#294=CARTESIAN_POINT('Origin',(50.,7.96204532888399E-15,-1.012));
#295=CARTESIAN_POINT('',(47.45,-1.1544030223994E-9,4.8));
#296=CARTESIAN_POINT('',(47.45,5.13414534529901E-15,-1.012));
#297=CARTESIAN_POINT('',(52.0752563907215,1.48182688353613,4.79999999999954));
#298=CARTESIAN_POINT('Origin',(50.,1.7074754378994E-14,4.8));
#299=CARTESIAN_POINT('Origin',(50.,1.7074754378994E-14,4.8));
#300=CARTESIAN_POINT('Origin',(50.0000000000001,-3.80251385934116E-14,4.81999999999977));
#301=CARTESIAN_POINT('',(51.9124911837204,1.36560516726193,4.99999999999991));
#302=CARTESIAN_POINT('Origin',(50.,1.82260242674404E-15,5.));
#303=CARTESIAN_POINT('',(52.0589798700215,1.47020471190872,4.81999999999955));
#304=CARTESIAN_POINT('Origin',(47.6029999998565,-2.39700000014351,5.));
#305=CARTESIAN_POINT('Origin',(50.,-4.75314232417645E-15,-0.15851787597991));
#306=CARTESIAN_POINT('',(49.9168438326352,-0.116457846707159,-0.15851787597991));
#307=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#311,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#308=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#311,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#309=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#307))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#311,#313,#314))
REPRESENTATION_CONTEXT('','3D')
);
#310=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#308))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#311,#313,#314))
REPRESENTATION_CONTEXT('','3D')
);
#311=(
LENGTH_UNIT()
NAMED_UNIT(*)
SI_UNIT(.MILLI.,.METRE.)
);
#312=(
LENGTH_UNIT()
NAMED_UNIT(*)
SI_UNIT($,.METRE.)
);
#313=(
NAMED_UNIT(*)
PLANE_ANGLE_UNIT()
SI_UNIT($,.RADIAN.)
);
#314=(
NAMED_UNIT(*)
SI_UNIT($,.STERADIAN.)
SOLID_ANGLE_UNIT()
);
#315=SHAPE_DEFINITION_REPRESENTATION(#316,#317);
#316=PRODUCT_DEFINITION_SHAPE('',$,#319);
#317=SHAPE_REPRESENTATION('',(#179),#309);
#318=PRODUCT_DEFINITION_CONTEXT('part definition',#323,'design');
#319=PRODUCT_DEFINITION('(Unsaved)','(Unsaved)',#320,#318);
#320=PRODUCT_DEFINITION_FORMATION('',$,#325);
#321=PRODUCT_RELATED_PRODUCT_CATEGORY('(Unsaved)','(Unsaved)',(#325));
#322=APPLICATION_PROTOCOL_DEFINITION('international standard',
'automotive_design',2009,#323);
#323=APPLICATION_CONTEXT(
'Core Data for Automotive Mechanical Design Process');
#324=PRODUCT_CONTEXT('part definition',#323,'mechanical');
#325=PRODUCT('(Unsaved)','(Unsaved)',$,(#324));
#326=PRESENTATION_STYLE_ASSIGNMENT((#328));
#327=PRESENTATION_STYLE_ASSIGNMENT((#329));
#328=SURFACE_STYLE_USAGE(.BOTH.,#330);
#329=SURFACE_STYLE_USAGE(.BOTH.,#331);
#330=SURFACE_SIDE_STYLE('',(#332));
#331=SURFACE_SIDE_STYLE('',(#333));
#332=SURFACE_STYLE_FILL_AREA(#334);
#333=SURFACE_STYLE_FILL_AREA(#335);
#334=FILL_AREA_STYLE('Steel - Satin',(#336));
#335=FILL_AREA_STYLE('Opaque(209,191,191)',(#337));
#336=FILL_AREA_STYLE_COLOUR('Steel - Satin',#338);
#337=FILL_AREA_STYLE_COLOUR('Opaque(209,191,191)',#339);
#338=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157,0.627450980392157);
#339=COLOUR_RGB('Opaque(209,191,191)',0.819607843137255,0.749019607843137,
0.749019607843137);
ENDSEC;
END-ISO-10303-21;

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,79 @@
(footprint "BM20B(0.8)-40DS-0.4V" (version 20210722) (generator pcbnew) (layer "F.Cu")
(tedit 610FE7F1)
(clearance 0.08)
(attr smd)
(fp_text reference "REF**" (at 0 -2.3 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 227fb912-1479-4f03-a5c8-436ef748069f)
)
(fp_text value "BM20B(0.8)-40DS-0.4V" (at 0 2.5 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 44d47ff0-ca8d-477b-a27b-9cad8925c4c7)
)
(fp_text user "${REFERENCE}" (at 0 -2.3 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp c7003f63-1e06-4649-a8fc-53e485578d62)
)
(fp_line (start -3.9 -1.8) (end -4.4 -1.8) (layer "F.SilkS") (width 0.12) (tstamp 0fa5e3ee-0d03-4f9e-876d-55d15a802280))
(fp_line (start 5.24 -1.1575) (end 5.24 1.1425) (layer "F.SilkS") (width 0.1) (tstamp 315b16d8-5079-48eb-8151-2be6c9f30544))
(fp_line (start -4.15 -1.3075) (end -3.9 -1.8) (layer "F.SilkS") (width 0.12) (tstamp 53e0fb03-0851-402b-9441-0024cf2ddaee))
(fp_line (start -4.76 -1.1575) (end -4.02 -1.1575) (layer "F.SilkS") (width 0.1) (tstamp 71e9bcd1-abcb-4be6-8021-d5b77772a1a1))
(fp_line (start -4.76 1.1425) (end -4.02 1.1425) (layer "F.SilkS") (width 0.1) (tstamp b281b8b1-cffa-42ce-b8a6-53daf5b8737e))
(fp_line (start 4.01 -1.1575) (end 4.76 -1.1575) (layer "F.SilkS") (width 0.1) (tstamp c898beb9-1cec-4583-889d-e761242d6126))
(fp_line (start -5.24 -1.1575) (end -5.24 1.1425) (layer "F.SilkS") (width 0.1) (tstamp ce38a62e-e9d5-4b8f-afe1-cbd93dbd9bb7))
(fp_line (start 4.01 1.1425) (end 4.76 1.1425) (layer "F.SilkS") (width 0.1) (tstamp d935cb87-e9a6-4f35-97e7-5ec1a61737a7))
(fp_line (start -4.15 -1.3075) (end -4.4 -1.8) (layer "F.SilkS") (width 0.12) (tstamp de4a3d0d-34ec-4344-ab84-f5ff33db2c72))
(fp_line (start -5.6 1.5925) (end 5.6 1.5925) (layer "F.CrtYd") (width 0.1) (tstamp 3bce4a4d-d14c-4746-ab20-c807d979da48))
(fp_line (start 5.6 1.5925) (end 5.6 -1.6075) (layer "F.CrtYd") (width 0.1) (tstamp 9c1c2e5b-b8c8-4884-a6f2-b00b9ff84a02))
(fp_line (start -5.6 -1.6075) (end -5.6 1.5925) (layer "F.CrtYd") (width 0.1) (tstamp a7ebaed5-ce05-4973-b72c-177e3b86a2a6))
(fp_line (start 5.6 -1.6075) (end -5.6 -1.6075) (layer "F.CrtYd") (width 0.1) (tstamp bdaaa915-d253-4d8c-9f79-d5361b55cbd5))
(pad "" smd rect (at -5.01 -0.925) (size 0.3 0.75) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 16848bdd-f934-4079-b76a-002a70592663))
(pad "" smd rect (at 5.01 -0.925) (size 0.3 0.75) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 20893cfd-977e-466c-bd38-93888070968a))
(pad "" smd rect (at -5.01 0.925) (size 0.3 0.75) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7f50da63-4a5e-4915-9ab6-8935244ea6e2))
(pad "" smd rect (at 5.01 0.925) (size 0.3 0.75) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fa490e11-e473-44e4-94ee-8644c929ed3a))
(pad "1" smd rect (at -3.8 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2fef1916-9336-4c0e-a709-6c9f7867aa61))
(pad "2" smd rect (at -3.4 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b963a75d-a981-47e0-8bbd-e35b2d05513f))
(pad "3" smd rect (at -3 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 56d1a046-6461-4aa2-9579-0b69eee920c4))
(pad "4" smd rect (at -2.6 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ae276d92-d4bd-4eee-a03b-8375a9d077bd))
(pad "5" smd rect (at -2.2 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp aceaac85-67ca-4598-85dd-4437923594b1))
(pad "6" smd rect (at -1.8 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7430e842-09e1-4f09-9be0-2096f7cac2c7))
(pad "7" smd rect (at -1.4 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2062060a-d8e4-4f89-97a5-4a29899a24a9))
(pad "8" smd rect (at -1 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b193627d-d652-499f-9940-dfc7e93aa003))
(pad "9" smd rect (at -0.6 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2d908479-5632-405a-b9b4-7891b36529d1))
(pad "10" smd rect (at -0.2 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e2bb4c70-ba57-4199-8d97-7dccbc11a2d1))
(pad "11" smd rect (at 0.2 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e81d0abb-d2e8-4da7-94e7-2e75b1c3213d))
(pad "12" smd rect (at 0.6 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 735d7805-3c0b-440e-9855-867687e019a4))
(pad "13" smd rect (at 1 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ccebbb34-35b8-4013-b73f-b216aa6d992b))
(pad "14" smd rect (at 1.4 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7a050885-18d2-438a-b82f-abbe7262b394))
(pad "15" smd rect (at 1.8 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c7616b1e-9445-4553-9918-062d5155f0ec))
(pad "16" smd rect (at 2.2 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d2e533f1-920b-4ccf-909c-9612e458b43b))
(pad "17" smd rect (at 2.6 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 300f0062-80ee-4cd9-b366-e897442d7390))
(pad "18" smd rect (at 3 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d987f35e-088c-4471-af4e-098300dca597))
(pad "19" smd rect (at 3.4 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d4056ef0-54c3-4dd4-9166-ee1b0cb1e2c8))
(pad "20" smd rect (at 3.8 -1.1) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 27985d15-73b0-47af-ae29-cdb02bc6cf18))
(pad "21" smd rect (at 3.8 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c9b2d744-0d8c-4ca0-9528-723c78eb1e08))
(pad "22" smd rect (at 3.4 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fb6062a2-2d61-440e-9069-5a58779a702d))
(pad "23" smd rect (at 3 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a3a9724c-2d76-432a-8be4-c50653aca1ee))
(pad "24" smd rect (at 2.6 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6204abd2-708d-435e-82c5-067dfb6e7d37))
(pad "25" smd rect (at 2.2 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1f5854ac-9447-41a5-bc2e-d7ff99f04b55))
(pad "26" smd rect (at 1.8 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f3326b05-df86-4b79-9413-4aef36c18dca))
(pad "27" smd rect (at 1.4 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 56428e43-ad71-461c-ab98-99e3d494c01c))
(pad "28" smd rect (at 1 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 449845a1-ea39-49c3-9bc4-9958afdfdfea))
(pad "29" smd rect (at 0.6 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5d855d60-a4e3-487e-9420-42ebba4dd761))
(pad "30" smd rect (at 0.2 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4fc19cf1-5217-402d-8b07-8a93f63c27a6))
(pad "31" smd rect (at -0.2 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9c47992b-2c0c-4031-9662-e61fe4ec1ba0))
(pad "32" smd rect (at -0.6 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b4102ba0-b67e-4156-affd-19a320fec5dd))
(pad "33" smd rect (at -1 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3573257a-f588-4f3c-a726-d4b13d5f8b85))
(pad "34" smd rect (at -1.4 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7e3e5071-baa7-45e6-9613-3dac2b691a09))
(pad "35" smd rect (at -1.8 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 58630c93-b1c6-40a2-952e-5e789755d84e))
(pad "36" smd rect (at -2.2 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 622e84ae-a749-46cc-b74f-3b8c990a21ec))
(pad "37" smd rect (at -2.6 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2160b879-307f-44bd-a5e2-94cb016496c7))
(pad "38" smd rect (at -3 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 232031f4-4cb5-444b-96e3-9d8d3e4b39c6))
(pad "39" smd rect (at -3.4 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ee2ae7c8-8f6c-4963-9aff-733a5f93c83b))
(pad "40" smd rect (at -3.8 1.085) (size 0.23 0.42) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1adc35ab-4c3f-480c-91b4-0c2f3886b591))
(model "${KIPRJMOD}/modules/packages3D/Connector_Hirose.3dshapes/BM20B(0.8)-40DS-0.4V.step"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)

View File

@ -0,0 +1,23 @@
(footprint "FDX0019B1" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 0)
(attr smd)
(fp_text reference "REF**" (at 0 -0.5 unlocked) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 3cc04ed5-c904-46a2-8873-4facfa2ee778)
)
(fp_text value "FDX0019B1" (at 0 1 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp a0e59649-0e4c-496b-9f3d-5210bc638b49)
)
(fp_text user "${REFERENCE}" (at 0 2.5 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 8c3aca2c-f3a8-46ef-b739-1ac1abfec24b)
)
(pad "1" smd rect (at 0 0) (size 2.5 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7bf10c8b-d3d6-4ed6-ba7e-03b4fd9ba329))
(model "${KIPRJMOD}/beepberry.pretty/3D/s7141-45.stp"
(offset (xyz 1.5 0 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 -90))
)
)

View File

@ -0,0 +1,23 @@
(module FM-B2020RGBA-HG (layer F.Cu) (tedit 5D573C5F)
(attr smd)
(fp_text reference REF** (at 0 -2) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value FM-B2020RGBA-HG (at 0 2.05) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.25 0) (end 1.25 0.9) (layer F.CrtYd) (width 0.12))
(fp_line (start 1.25 0.9) (end -1.25 0.9) (layer F.CrtYd) (width 0.12))
(fp_line (start -1.25 0.9) (end -1.25 -0.9) (layer F.CrtYd) (width 0.12))
(fp_line (start -1.25 -0.9) (end 1.25 -0.9) (layer F.CrtYd) (width 0.12))
(fp_line (start 1.25 -0.9) (end 1.25 0) (layer F.CrtYd) (width 0.12))
(fp_line (start -1.55 -1.2) (end -1.55 1.2) (layer F.SilkS) (width 0.12))
(fp_line (start -1.55 1.2) (end 1.55 1.2) (layer F.SilkS) (width 0.12))
(fp_line (start 1.55 1.2) (end 1.55 -1.2) (layer F.SilkS) (width 0.12))
(fp_line (start 1.55 -1.2) (end -1.55 -1.2) (layer F.SilkS) (width 0.12))
(fp_line (start 1.05 1.2) (end 1.55 0.7) (layer F.SilkS) (width 0.12))
(pad 1 smd rect (at 0.85 0.55) (size 0.8 0.7) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -0.85 0.55) (size 0.8 0.7) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at -0.85 -0.55) (size 0.8 0.7) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 0.85 -0.55) (size 0.8 0.7) (layers F.Cu F.Paste F.Mask))
)

View File

@ -0,0 +1,162 @@
(footprint "HRS_FH34SRJ-10S-0.5SH(50)" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 62B8E09F)
(attr through_hole)
(fp_text reference "REF**" (at -1.75 -4.4) (layer "F.SilkS")
(effects (font (size 0.629921 0.629921) (thickness 0.15)))
(tstamp ee45be93-fd9f-4d18-97ee-e75a85927397)
)
(fp_text value "HRS_FH34SRJ-10S-0.5SH_50_" (at 6.25 -3.4) (layer "F.Fab")
(effects (font (size 0.629921 0.629921) (thickness 0.15)))
(tstamp 16a61906-b180-4c48-848b-7f50f2104c07)
)
(fp_poly (pts
(xy -1.125 -1.55)
(xy -1.375 -1.55)
(xy -1.375 -0.9)
(xy -1.125 -0.9)
) (layer "F.Paste") (width 0.01) (fill solid) (tstamp 1a9e31c4-3a45-42cd-a0ef-4bea6cf2bbf0))
(fp_poly (pts
(xy -0.125 -1.55)
(xy -0.375 -1.55)
(xy -0.375 -0.9)
(xy -0.125 -0.9)
) (layer "F.Paste") (width 0.01) (fill solid) (tstamp 419f46ab-cca7-41a2-9fa4-231fde7010c2))
(fp_poly (pts
(xy -0.625 -1.55)
(xy -0.875 -1.55)
(xy -0.875 -0.9)
(xy -0.625 -0.9)
) (layer "F.Paste") (width 0.01) (fill solid) (tstamp 5e1137da-74b6-4718-98ad-32e029b692bf))
(fp_poly (pts
(xy -2.125 -1.55)
(xy -2.375 -1.55)
(xy -2.375 -0.9)
(xy -2.125 -0.9)
) (layer "F.Paste") (width 0.01) (fill solid) (tstamp 6a9f6ec5-2db8-478c-9a4f-5060731b6e12))
(fp_poly (pts
(xy 2.375 -1.55)
(xy 2.125 -1.55)
(xy 2.125 -0.9)
(xy 2.375 -0.9)
) (layer "F.Paste") (width 0.01) (fill solid) (tstamp c07a830c-4355-476a-b1cd-49614903074e))
(fp_poly (pts
(xy 1.375 -1.55)
(xy 1.125 -1.55)
(xy 1.125 -0.9)
(xy 1.375 -0.9)
) (layer "F.Paste") (width 0.01) (fill solid) (tstamp c58d53e1-56db-49ed-b28f-bd7b40066409))
(fp_poly (pts
(xy 1.875 -1.55)
(xy 1.625 -1.55)
(xy 1.625 -0.9)
(xy 1.875 -0.9)
) (layer "F.Paste") (width 0.01) (fill solid) (tstamp d3746682-528b-4e54-bb55-9a0ec84585ab))
(fp_poly (pts
(xy 0.875 -1.55)
(xy 0.625 -1.55)
(xy 0.625 -0.9)
(xy 0.875 -0.9)
) (layer "F.Paste") (width 0.01) (fill solid) (tstamp d53dacf5-29a2-4cc6-9997-1ca3fc4ac274))
(fp_poly (pts
(xy 0.375 -1.55)
(xy 0.125 -1.55)
(xy 0.125 -0.9)
(xy 0.375 -0.9)
) (layer "F.Paste") (width 0.01) (fill solid) (tstamp d8bf0488-b194-49f5-86f2-7cede68a878a))
(fp_poly (pts
(xy -1.625 -1.55)
(xy -1.875 -1.55)
(xy -1.875 -0.9)
(xy -1.625 -0.9)
) (layer "F.Paste") (width 0.01) (fill solid) (tstamp de10b396-d181-479f-a82c-f143504eb0f9))
(fp_line (start -2.73 1.9) (end 2.73 1.9) (layer "F.SilkS") (width 0.127) (tstamp 12b3229d-2a50-48cd-917d-975903dfbe67))
(fp_line (start 3.5 0.63) (end 3.5 -1.9) (layer "F.SilkS") (width 0.127) (tstamp 58ad9df6-a7d8-45f5-8df3-90c5c0e0c63e))
(fp_line (start -3.5 -1.9) (end -3.5 0.63) (layer "F.SilkS") (width 0.127) (tstamp 5abfd3cf-8b82-409d-b0dd-ab0b45a460bd))
(fp_line (start 3.5 -1.9) (end -3.5 -1.9) (layer "F.SilkS") (width 0.127) (tstamp d2a5ab34-0def-4289-89de-bd986621fba8))
(fp_circle (center -2.25 -2.45) (end -2.35 -2.45) (layer "F.SilkS") (width 0.2) (fill none) (tstamp af2c818a-ba41-44b8-8c13-6e5f60afa2b9))
(fp_poly (pts
(xy 2.45 -1.6)
(xy 2.05 -1.6)
(xy 2.05 -0.7)
(xy 2.45 -0.7)
) (layer "F.Mask") (width 0.01) (fill solid) (tstamp 3938ba8f-b7af-4923-bec3-28af2ece8be0))
(fp_poly (pts
(xy 1.95 -1.6)
(xy 1.55 -1.6)
(xy 1.55 -0.7)
(xy 1.95 -0.7)
) (layer "F.Mask") (width 0.01) (fill solid) (tstamp 54259836-cda4-4c03-9aca-a1d96cf078fe))
(fp_poly (pts
(xy 1.45 -1.6)
(xy 1.05 -1.6)
(xy 1.05 -0.7)
(xy 1.45 -0.7)
) (layer "F.Mask") (width 0.01) (fill solid) (tstamp 6ea1ca1a-841d-43fb-a059-965630456d3f))
(fp_poly (pts
(xy 0.45 -1.6)
(xy 0.05 -1.6)
(xy 0.05 -0.7)
(xy 0.45 -0.7)
) (layer "F.Mask") (width 0.01) (fill solid) (tstamp 7e4ca2ac-1c37-4347-b59d-76b33400a12e))
(fp_poly (pts
(xy 0.95 -1.6)
(xy 0.55 -1.6)
(xy 0.55 -0.7)
(xy 0.95 -0.7)
) (layer "F.Mask") (width 0.01) (fill solid) (tstamp 8f89b17e-904f-42b5-8bd2-7824a15474a8))
(fp_poly (pts
(xy -1.55 -1.6)
(xy -1.95 -1.6)
(xy -1.95 -0.7)
(xy -1.55 -0.7)
) (layer "F.Mask") (width 0.01) (fill solid) (tstamp b3725e5c-2213-4ba5-bb52-2419e4bd36f4))
(fp_poly (pts
(xy -1.05 -1.6)
(xy -1.45 -1.6)
(xy -1.45 -0.7)
(xy -1.05 -0.7)
) (layer "F.Mask") (width 0.01) (fill solid) (tstamp b45dd961-f7c5-48e4-a19c-24321f7267bc))
(fp_poly (pts
(xy -2.05 -1.6)
(xy -2.45 -1.6)
(xy -2.45 -0.7)
(xy -2.05 -0.7)
) (layer "F.Mask") (width 0.01) (fill solid) (tstamp ce89946a-946f-4de1-9fd8-beea2977edcd))
(fp_poly (pts
(xy -0.05 -1.6)
(xy -0.45 -1.6)
(xy -0.45 -0.7)
(xy -0.05 -0.7)
) (layer "F.Mask") (width 0.01) (fill solid) (tstamp e1ad96e6-15dd-444e-8f66-fb4b0b61396a))
(fp_poly (pts
(xy -0.55 -1.6)
(xy -0.95 -1.6)
(xy -0.95 -0.7)
(xy -0.55 -0.7)
) (layer "F.Mask") (width 0.01) (fill solid) (tstamp e6f9e890-0eda-4bcf-a13e-b922477d359a))
(fp_line (start 3.75 -2.15) (end -3.75 -2.15) (layer "F.CrtYd") (width 0.05) (tstamp 4260f0a8-ef1b-41f3-bf98-9e2ac46a984a))
(fp_line (start -3.75 2.15) (end 3.75 2.15) (layer "F.CrtYd") (width 0.05) (tstamp 6fe01348-d977-4018-9c78-b232cd4b25f9))
(fp_line (start 3.75 2.15) (end 3.75 -2.15) (layer "F.CrtYd") (width 0.05) (tstamp 8a5308a6-1b1d-4e19-a990-07873fe087f0))
(fp_line (start -3.75 -2.15) (end -3.75 2.15) (layer "F.CrtYd") (width 0.05) (tstamp d0aeaca9-2ef2-4bcb-a55c-cc92158dc5fa))
(fp_line (start -3.5 -1) (end 3.5 -1) (layer "F.Fab") (width 0.127) (tstamp 23646349-9f33-4436-8225-44bd284fa869))
(fp_line (start 3.5 -1.9) (end 3.5 -1) (layer "F.Fab") (width 0.127) (tstamp 56e36165-ecaf-4b58-b751-3b687972653e))
(fp_line (start -3.5 1.9) (end 3.5 1.9) (layer "F.Fab") (width 0.127) (tstamp aa50b741-562e-4901-9e03-2dfd566ba7c5))
(fp_line (start -3.5 -1.9) (end 3.5 -1.9) (layer "F.Fab") (width 0.127) (tstamp ab0a96b5-078e-41bb-86b8-a8691230c4b8))
(fp_line (start -3.5 -1) (end -3.5 -1.9) (layer "F.Fab") (width 0.127) (tstamp aba4042e-6983-4c3f-bf4c-da2593abd701))
(fp_line (start -3.5 -1) (end -3.5 1.9) (layer "F.Fab") (width 0.127) (tstamp bece466a-e890-477d-b73e-7605975990d9))
(fp_line (start 3.5 1.9) (end 3.5 -1) (layer "F.Fab") (width 0.127) (tstamp c00fd538-b086-408e-90bf-111f1e8e5638))
(fp_circle (center -2.25 -2.45) (end -2.15 -2.45) (layer "F.Fab") (width 0.2) (fill none) (tstamp c937bdd1-d3c0-4af8-927d-1d40b8a8fc21))
(pad "1" smd rect (at -2.25 -1.15) (size 0.3 0.8) (layers "F.Cu") (tstamp 790303bd-9612-435c-bc91-32b4c1c0981e))
(pad "2" smd rect (at -1.75 -1.15) (size 0.3 0.8) (layers "F.Cu") (tstamp f156b4d4-dceb-40b6-a7be-f89eed4ab48d))
(pad "3" smd rect (at -1.25 -1.15) (size 0.3 0.8) (layers "F.Cu") (tstamp 505ed443-daea-478d-b640-5172ae7d61c7))
(pad "4" smd rect (at -0.75 -1.15) (size 0.3 0.8) (layers "F.Cu") (tstamp c51fffbc-5c89-4e14-8f41-fd75cfbf2d1f))
(pad "5" smd rect (at -0.25 -1.15) (size 0.3 0.8) (layers "F.Cu") (tstamp 60700e5d-df8e-437f-8c15-de5ba76ff47e))
(pad "6" smd rect (at 0.25 -1.15) (size 0.3 0.8) (layers "F.Cu") (tstamp 95560ac1-498a-438c-b9f9-2b0f6ba5dcdc))
(pad "7" smd rect (at 0.75 -1.15) (size 0.3 0.8) (layers "F.Cu") (tstamp b073c1c9-f64c-4622-b505-b83128741cbb))
(pad "8" smd rect (at 1.25 -1.15) (size 0.3 0.8) (layers "F.Cu") (tstamp 71ce0205-bbb2-486b-b0c9-221783d0950e))
(pad "9" smd rect (at 1.75 -1.15) (size 0.3 0.8) (layers "F.Cu") (tstamp e8055df4-ef00-4e45-916a-1ff7c81d1733))
(pad "10" smd rect (at 2.25 -1.15) (size 0.3 0.8) (layers "F.Cu") (tstamp 7122e9dc-87bc-43a7-a2e4-68e61f4be502))
(pad "S1" smd rect (at 3.25 1.35) (size 0.4 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3b459fe8-38b5-47d9-ba62-d0a6bfbe0957))
(pad "S2" smd rect (at -3.25 1.35) (size 0.4 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 08baa57b-0a28-44b0-a71b-1010a5b4778f))
)

View File

@ -0,0 +1,37 @@
(footprint "LS027B7DH01" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 62B91E43)
(attr through_hole)
(fp_text reference "REF**" (at 0 0.5) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 5591601a-0208-417f-b6e4-bd68e0c1316e)
)
(fp_text value "LS027B7DH01" (at 0 -0.5) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp d38c4111-b22d-4aa6-9f1e-02baca01bfee)
)
(fp_line (start 2.75 36.04) (end 2.75 32.04) (layer "Dwgs.User") (width 0.01) (tstamp 20193e1f-fada-4c28-9cae-dcc1516a667c))
(fp_line (start 4.74 23.98) (end 4.74 30.04) (layer "Dwgs.User") (width 0.01) (tstamp 281cba2a-9a0d-4ba9-ae02-4851a4d5ef54))
(fp_line (start 2.75 32.04) (end -2.75 32.04) (layer "Dwgs.User") (width 0.01) (tstamp 5e6ca305-2b2a-4bbd-8304-3c766e1c0de3))
(fp_line (start -2.75 32.04) (end -2.75 36.04) (layer "Dwgs.User") (width 0.01) (tstamp 6fd79d3f-e027-49e4-a286-deb8cd8d05de))
(fp_line (start -2.75 36.04) (end 2.75 36.04) (layer "Dwgs.User") (width 0.01) (tstamp 7869f947-883d-43de-ac85-51a8b8bdfb0f))
(fp_line (start -4.74 23.98) (end -4.74 30.04) (layer "Dwgs.User") (width 0.01) (tstamp ad0f7d9a-9abf-4866-abfe-c678d2624384))
(fp_line (start 4.74 23.18) (end 4.74 23.98) (layer "Dwgs.User") (width 0.01) (tstamp b168ed7f-2c63-4dbc-90bf-bf3adb3795ed))
(fp_line (start -4.74 23.18) (end -4.74 23.98) (layer "Dwgs.User") (width 0.01) (tstamp cd446b8a-c08c-42cd-a9a3-cf412885f2b4))
(fp_line (start 2.75 32.04) (end 4.74 30.04) (layer "Dwgs.User") (width 0.01) (tstamp d039efaa-7da5-4a1c-b849-1cadc9110e4a))
(fp_line (start -4.74 30.04) (end -2.75 32.04) (layer "Dwgs.User") (width 0.01) (tstamp d8fbedd9-bbf3-4187-842a-3510bcba1e5f))
(fp_line (start -4.74 30.04) (end 4.74 30.04) (layer "Dwgs.User") (width 0.01) (tstamp e5a6a0c6-b654-43a9-9bdb-35d265394583))
(fp_line (start -29.4 17.64) (end -29.4 -17.64) (layer "F.Fab") (width 0.01) (tstamp 32450ce7-fbe5-4a8a-a4f0-430408f6414a))
(fp_line (start 31.4 -19.64) (end 31.4 23.18) (layer "F.Fab") (width 0.12) (tstamp 32b25f66-826b-47bc-9f26-eae4a5f0a5bf))
(fp_line (start -31.4 -19.64) (end -31.4 23.18) (layer "F.Fab") (width 0.12) (tstamp 38d5d713-b94e-4d55-b43a-1a75433f7985))
(fp_line (start -29.4 17.64) (end 29.4 17.64) (layer "F.Fab") (width 0.01) (tstamp 64b90e1f-b66a-437d-be77-1d73d8d72146))
(fp_line (start -31.4 23.18) (end 31.4 23.18) (layer "F.Fab") (width 0.12) (tstamp 71397e76-b6a2-431e-a781-9527506befc9))
(fp_line (start -31.4 -19.64) (end 31.4 -19.64) (layer "F.Fab") (width 0.12) (tstamp af85d2f1-0a95-4326-a8f5-d4abec33b0ff))
(fp_line (start 29.4 -17.64) (end 29.4 17.64) (layer "F.Fab") (width 0.01) (tstamp bda15ee9-b44d-4dc8-b2b1-024f6516d860))
(fp_line (start -29.4 -17.64) (end 29.4 -17.64) (layer "F.Fab") (width 0.01) (tstamp dccf7f09-870a-430e-b3a5-d374b0951e63))
(model "${KIPRJMOD}/beepberry.pretty/3D/LS027B7DH01 LCD.stp"
(offset (xyz 31.5 -23.5 1))
(scale (xyz 1 1 1))
(rotate (xyz 0 180 0))
)
)

View File

@ -0,0 +1,18 @@
(footprint "MountHole" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 0)
(attr smd)
(fp_text reference "REF**" (at 0 -0.5 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp f29b3225-e277-4384-bb54-38314b6378f4)
)
(fp_text value "MountHole" (at 0 1 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp fce36bcd-ad06-44b7-a4b0-21ff5c1810d8)
)
(fp_text user "${REFERENCE}" (at 0 2.5 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 104f3e7d-938e-454c-b8c9-2239591f400c)
)
(pad "" thru_hole circle (at 0 0) (size 6 6) (drill 4.09) (layers *.Cu *.Mask) (tstamp 4656a605-2375-4827-81c5-7e6399888701))
)

View File

@ -0,0 +1,101 @@
(footprint "QFN-56-1EP_7x7mm_P0.4mm_EP5.6x5.6mm" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 62B8CC9C)
(descr "QFN, 56 Pin (http://www.cypress.com/file/416486/download#page=40), generated with kicad-footprint-generator ipc_noLead_generator.py")
(tags "QFN NoLead")
(attr smd)
(fp_text reference "REF**" (at 0 -4.82) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 1cb41492-bb93-4790-ad58-0f20773d1f59)
)
(fp_text value "QFN-56-1EP_7x7mm_P0.4mm_EP5.6x5.6mm" (at 0 4.82) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp b083abe2-0457-4996-a931-50814a9c1d4b)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 7602d57d-0ec6-45eb-9694-c6ae1a760741)
)
(fp_line (start 3.61 -3.61) (end 3.61 -2.96) (layer "F.SilkS") (width 0.12) (tstamp 143843d9-5b2b-4149-9a31-87496276e62e))
(fp_line (start 2.96 -3.61) (end 3.61 -3.61) (layer "F.SilkS") (width 0.12) (tstamp 1ce4caf8-c8bb-4fee-96d4-66c6c11818f1))
(fp_line (start -3.61 3.61) (end -3.61 2.96) (layer "F.SilkS") (width 0.12) (tstamp 28e3cecb-5b2d-440e-975c-84c54fd48764))
(fp_line (start -2.96 3.61) (end -3.61 3.61) (layer "F.SilkS") (width 0.12) (tstamp 33148282-40c9-4cda-b710-b4676c401c9e))
(fp_line (start -2.96 -3.61) (end -3.61 -3.61) (layer "F.SilkS") (width 0.12) (tstamp 63b300c0-d2bd-467a-a60a-7afd101321d8))
(fp_line (start 2.96 3.61) (end 3.61 3.61) (layer "F.SilkS") (width 0.12) (tstamp 75376d1a-b1cd-48ca-bb23-11e604649e2c))
(fp_line (start 3.61 3.61) (end 3.61 2.96) (layer "F.SilkS") (width 0.12) (tstamp ae450cc7-7def-4688-a990-071728b5d6c1))
(fp_line (start 4.12 4.12) (end 4.12 -4.12) (layer "F.CrtYd") (width 0.05) (tstamp 24d84152-bd16-42f0-b31c-da5c0075c0a9))
(fp_line (start -4.12 4.12) (end 4.12 4.12) (layer "F.CrtYd") (width 0.05) (tstamp 4a43d0e8-6681-4990-bf2a-d86962571c6c))
(fp_line (start 4.12 -4.12) (end -4.12 -4.12) (layer "F.CrtYd") (width 0.05) (tstamp cceb0992-d7b0-445b-8d5b-32d0d8fb8730))
(fp_line (start -4.12 -4.12) (end -4.12 4.12) (layer "F.CrtYd") (width 0.05) (tstamp f00de455-d6a3-446a-aed3-4d3f2a1d79a7))
(fp_line (start -3.5 -2.5) (end -2.5 -3.5) (layer "F.Fab") (width 0.1) (tstamp 6dd7f30c-e337-4ce2-8c91-e9492994a612))
(fp_line (start 3.5 3.5) (end -3.5 3.5) (layer "F.Fab") (width 0.1) (tstamp 9478caeb-b727-455b-93f6-4a7ba6bf88ff))
(fp_line (start -2.5 -3.5) (end 3.5 -3.5) (layer "F.Fab") (width 0.1) (tstamp 964fef0c-28c1-487d-979d-e51467c515ad))
(fp_line (start 3.5 -3.5) (end 3.5 3.5) (layer "F.Fab") (width 0.1) (tstamp e31d6a06-a105-4ec5-90bf-40066b0d8ad4))
(fp_line (start -3.5 3.5) (end -3.5 -2.5) (layer "F.Fab") (width 0.1) (tstamp fd3e7550-b460-4c66-9cde-67bd2357b67b))
(pad "" smd roundrect (at 0.7 -0.7) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.221239) (tstamp 14d0da6f-9850-487b-bd20-464b22ec06c3))
(pad "" smd roundrect (at 0.7 0.7) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.221239) (tstamp 429b569e-2ded-491e-b5a3-5fd6415c5df9))
(pad "" smd roundrect (at -0.7 0.7) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.221239) (tstamp 7d0b040a-02c1-46cc-86f8-9545b08e89b9))
(pad "" smd roundrect (at -0.7 -0.7) (size 1.13 1.13) (layers "F.Paste") (roundrect_rratio 0.221239) (tstamp f7d7c5a0-f2af-4f33-92e7-dc77c486f00c))
(pad "1" smd roundrect (at -3.4375 -2.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c7b2dfb2-c297-4775-bd8d-089f60c7f5c8))
(pad "2" smd roundrect (at -3.4375 -2.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 96efaa14-69c7-43f1-99ef-d01236e1a38c))
(pad "3" smd roundrect (at -3.4375 -1.8) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 887a9c86-b2af-497c-a44d-ba6d3b6eee34))
(pad "4" smd roundrect (at -3.4375 -1.4) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a4c866ab-a81b-4914-a82c-4af5068226be))
(pad "5" smd roundrect (at -3.4375 -1) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 319c4bb4-77f6-475b-a1e8-482e167b57ca))
(pad "6" smd roundrect (at -3.4375 -0.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 44343f1b-3511-4672-8759-abd5eef10cae))
(pad "7" smd roundrect (at -3.4375 -0.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 67f19c8d-8979-4413-b403-af166a4bcc58))
(pad "8" smd roundrect (at -3.4375 0.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8e4d7d65-0971-4417-a795-e7ff376ffc5d))
(pad "9" smd roundrect (at -3.4375 0.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7e33e4ab-fed5-4093-8dc7-44ccc10980e1))
(pad "10" smd roundrect (at -3.4375 1) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5e1c2358-e06a-4178-a65d-3bf67a4ad21c))
(pad "11" smd roundrect (at -3.4375 1.4) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6804eff7-f37b-4022-9c54-d351dccc84eb))
(pad "12" smd roundrect (at -3.4375 1.8) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5e8d90bc-2fe1-4989-a471-1cceb269bd73))
(pad "13" smd roundrect (at -3.4375 2.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0da88f34-3793-44c0-8143-7f0dea1a4314))
(pad "14" smd roundrect (at -3.4375 2.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 09963381-f1a7-4c31-9958-ca5cb9dc7851))
(pad "15" smd roundrect (at -2.6 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp dba53c32-257a-4c9c-aea8-082fff066384))
(pad "16" smd roundrect (at -2.2 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a3a33519-a8d7-4b84-be08-51a5335fe175))
(pad "17" smd roundrect (at -1.8 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f17a8568-d5be-4c65-a695-e83f5cdf9445))
(pad "18" smd roundrect (at -1.4 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5cdf8853-e1e4-4897-89a7-7b632a6efa32))
(pad "19" smd roundrect (at -1 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d0d3c6ef-4125-4dc5-b5ad-5da5a2561954))
(pad "20" smd roundrect (at -0.6 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 16009885-a147-43ed-872a-45cc04729c13))
(pad "21" smd roundrect (at -0.2 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 3ad23e03-d2b3-45b0-96b4-e49fcc75a345))
(pad "22" smd roundrect (at 0.2 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a990de91-5995-4cff-94bb-31570e219c84))
(pad "23" smd roundrect (at 0.6 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 254019b0-e277-429d-a55f-a9d08453afdf))
(pad "24" smd roundrect (at 1 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 15c23a20-506a-4d56-9a2a-d884b9d6198c))
(pad "25" smd roundrect (at 1.4 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0be7c0f3-fc66-4a46-98fd-a6fddd642a97))
(pad "26" smd roundrect (at 1.8 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e55551c2-9727-4f82-8965-442f2de0297a))
(pad "27" smd roundrect (at 2.2 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 10390f88-05f9-4e11-a7da-0544f9016d20))
(pad "28" smd roundrect (at 2.6 3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c0ab3a28-2ebf-44f5-9999-a96d24c577ef))
(pad "29" smd roundrect (at 3.4375 2.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5549a141-bd37-4941-bf43-9377fe64f8b8))
(pad "30" smd roundrect (at 3.4375 2.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ddce157f-e5cf-4d3a-9d41-9b248cb3ff94))
(pad "31" smd roundrect (at 3.4375 1.8) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9c2ce4c2-4359-4c69-b300-98ae259e675f))
(pad "32" smd roundrect (at 3.4375 1.4) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ebe8908f-cbd0-4002-aa82-aec841dec7a0))
(pad "33" smd roundrect (at 3.4375 1) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 807695a7-b8c4-48b1-895b-48a01f30d2ff))
(pad "34" smd roundrect (at 3.4375 0.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d9d74ddf-dbf5-42e7-b795-43c2a39794c3))
(pad "35" smd roundrect (at 3.4375 0.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 25f7a118-ae51-4579-b07d-4055183275f0))
(pad "36" smd roundrect (at 3.4375 -0.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 28d5738d-b02a-45dc-b853-c32eacab6460))
(pad "37" smd roundrect (at 3.4375 -0.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f945430f-d0c0-45b7-9474-d4928893c459))
(pad "38" smd roundrect (at 3.4375 -1) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9343fc0a-33d3-4c32-83e4-d4375dedea11))
(pad "39" smd roundrect (at 3.4375 -1.4) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1018c1f0-8364-4603-9eb7-871c47a0edd9))
(pad "40" smd roundrect (at 3.4375 -1.8) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 57d9ae23-160d-46fc-8542-0761f66cbb54))
(pad "41" smd roundrect (at 3.4375 -2.2) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e768590b-fd92-4808-8b5c-c538a5d71353))
(pad "42" smd roundrect (at 3.4375 -2.6) (size 0.875 0.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 36330616-c7ee-47cb-a9a2-2c08f9379b75))
(pad "43" smd roundrect (at 2.6 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f8d40dea-ce23-49a3-959a-4c9beb32562e))
(pad "44" smd roundrect (at 2.2 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1a44131e-010d-4427-99b4-adce439b4f96))
(pad "45" smd roundrect (at 1.8 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5d93abe2-4532-4ea6-9697-91c5148b5895))
(pad "46" smd roundrect (at 1.4 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ccd0074a-1ef0-4248-9305-14c53f69babc))
(pad "47" smd roundrect (at 1 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 645e2e12-0daf-40c6-a7dd-ca600e9603e8))
(pad "48" smd roundrect (at 0.6 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 83e08de6-7ccd-4d47-9971-4da79778fa87))
(pad "49" smd roundrect (at 0.2 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e0b56605-8256-4030-b90e-875ee0e71a8d))
(pad "50" smd roundrect (at -0.2 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 08f03e47-fe87-40d7-900f-6d6289046a31))
(pad "51" smd roundrect (at -0.6 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 838fcb00-5128-4f30-9cee-70ba20819dc6))
(pad "52" smd roundrect (at -1 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 688210ff-9853-432c-a726-b0b425cde226))
(pad "53" smd roundrect (at -1.4 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 247657c3-72e1-4b9b-a4c3-eadc80c2ec1a))
(pad "54" smd roundrect (at -1.8 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d2bb7984-ebbb-4e51-b516-a37fe7852441))
(pad "55" smd roundrect (at -2.2 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0e4964e6-9cba-4f92-89e6-e4cbbd69b948))
(pad "56" smd roundrect (at -2.6 -3.4375) (size 0.2 0.875) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ab8fa6cb-13a9-4f3f-b0ca-4d49ee4c0849))
(pad "57" smd rect (at 0 0) (size 3.2 3.2) (layers "F.Cu" "F.Mask") (tstamp e9c5dcde-1025-4724-ab6b-fa143326a9b2))
(model "${KICAD6_3DMODEL_DIR}/Package_DFN_QFN.3dshapes/QFN-56-1EP_7x7mm_P0.4mm_EP5.6x5.6mm.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,132 @@
(footprint "Raspberry_Pi_Zero_Socketed_SMD_FaceUP_MountingHoles" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 5C6350CC)
(descr "Raspberry Pi Zero using through hole straight pin socket, 2x20, 2.54mm pitch, https://www.raspberrypi.org/documentation/hardware/raspberrypi/mechanical/rpi_MECH_Zero_1p2.pdf")
(tags "raspberry pi zero through hole")
(attr through_hole)
(fp_text reference "REF**" (at -10.16 24.1 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 6a5ee907-3ef8-446b-91b3-26dccf8863ea)
)
(fp_text value "Raspberry_Pi_Zero_Socketed_SMD_FaceUP_MountingHoles" (at -10.23 24.13 270) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 237c5aad-83a7-4a78-ba6e-54c403daad52)
)
(fp_line (start -25.29 41.57) (end -25.29 37.09) (layer "F.SilkS") (width 0.12) (tstamp 10bc8035-e211-47e6-b4d6-b67a2b2bf19c))
(fp_line (start -25.99 9.74) (end -25.99 -1.68) (layer "F.SilkS") (width 0.12) (tstamp 1742311d-77d3-44a8-a6d8-70f8df5de671))
(fp_line (start -25.29 53.63) (end -25.29 49.69) (layer "F.SilkS") (width 0.12) (tstamp 18133c5e-5b0e-47d3-add9-157eab205168))
(fp_line (start -25.29 37.09) (end -27.09 37.09) (layer "F.SilkS") (width 0.12) (tstamp 2f8b8bec-8997-4454-ba4a-332ea1bd887c))
(fp_line (start -25.29 49.69) (end -27.09 49.69) (layer "F.SilkS") (width 0.12) (tstamp 318200a0-dc90-4d06-822f-e30fc3dc0a27))
(fp_line (start -27.09 41.57) (end -25.29 41.57) (layer "F.SilkS") (width 0.12) (tstamp 372ec831-7854-44bd-a382-d7418c3ae71a))
(fp_line (start -27.09 49.69) (end -27.09 41.57) (layer "F.SilkS") (width 0.12) (tstamp 4bf452a4-0f11-4f8f-869a-20a937929b1b))
(fp_line (start 4.83 53.63) (end 4.83 -5.37) (layer "F.SilkS") (width 0.12) (tstamp 64560f35-1e5a-4e7c-8171-98971aff66d8))
(fp_line (start -1.67 56.69) (end -1.67 57.89) (layer "F.SilkS") (width 0.12) (tstamp 71b6dd5b-7ec9-4e02-875a-f559b5e57d45))
(fp_line (start 1.77 -8.43) (end -22.23 -8.43) (layer "F.SilkS") (width 0.12) (tstamp 82d59b50-8130-4739-ae80-b733f6a1362e))
(fp_line (start -25.29 -1.68) (end -25.29 -5.37) (layer "F.SilkS") (width 0.12) (tstamp b24a036b-400f-4511-bfb2-5c5631aa7533))
(fp_line (start -27.09 28.97) (end -25.29 28.97) (layer "F.SilkS") (width 0.12) (tstamp b6ebfd83-bd92-4ee4-8198-cfc92a4ac611))
(fp_line (start -25.29 28.97) (end -25.29 9.74) (layer "F.SilkS") (width 0.12) (tstamp cbe4333a-693b-49cf-b974-408c34df1ae6))
(fp_line (start -25.29 9.74) (end -25.99 9.74) (layer "F.SilkS") (width 0.12) (tstamp cde4778b-6803-44c9-8a90-6029702f8201))
(fp_line (start -27.09 37.09) (end -27.09 28.97) (layer "F.SilkS") (width 0.12) (tstamp cdfc38da-1bed-42d6-bd5d-2ead8c508077))
(fp_line (start -18.79 56.69) (end -22.23 56.69) (layer "F.SilkS") (width 0.12) (tstamp d86d062c-4cc1-47d6-a5d8-6ee48f6612e2))
(fp_line (start -1.67 56.69) (end 1.77 56.69) (layer "F.SilkS") (width 0.12) (tstamp dffdbcab-0359-4739-847a-79cb8f9415bd))
(fp_line (start -25.99 -1.68) (end -25.29 -1.68) (layer "F.SilkS") (width 0.12) (tstamp e04ff0df-679f-42ce-a0ba-b7fa4c6e32b9))
(fp_line (start -1.67 57.89) (end -18.79 57.89) (layer "F.SilkS") (width 0.12) (tstamp f6fcd654-4582-4062-be25-e3d16238559f))
(fp_line (start -18.79 57.89) (end -18.79 56.69) (layer "F.SilkS") (width 0.12) (tstamp fec9f6ca-a862-43c7-983c-86e0e98d246f))
(fp_arc (start -25.29 -5.37) (mid -24.393747 -7.533747) (end -22.23 -8.43) (layer "F.SilkS") (width 0.12) (tstamp 2e9398a3-a20d-436f-ae22-6a84ba499140))
(fp_arc (start 4.83 53.63) (mid 3.933747 55.793747) (end 1.77 56.69) (layer "F.SilkS") (width 0.12) (tstamp 536522db-6094-41ae-bf1f-542e5a0444dd))
(fp_arc (start -22.23 56.69) (mid -24.393747 55.793747) (end -25.29 53.63) (layer "F.SilkS") (width 0.12) (tstamp b40e6b42-4025-47c7-9123-ec54d2b97b66))
(fp_arc (start 1.77 -8.43) (mid 3.933747 -7.533747) (end 4.83 -5.37) (layer "F.SilkS") (width 0.12) (tstamp e1988d3b-6488-4de1-8815-97757ed2b806))
(fp_line (start -27.53 28.53) (end -25.48 28.53) (layer "F.CrtYd") (width 0.05) (tstamp 08a94392-163b-4f14-88f4-96885ff0beca))
(fp_line (start -25.48 50.13) (end -25.48 56.88) (layer "F.CrtYd") (width 0.05) (tstamp 0be27758-7342-49bf-a211-f1f4b7e8c0ac))
(fp_line (start 5.02 56.88) (end 5.02 -8.62) (layer "F.CrtYd") (width 0.05) (tstamp 123e6688-3602-4bd9-9aa4-f61665cf10c2))
(fp_line (start -26.43 10.18) (end -25.48 10.18) (layer "F.CrtYd") (width 0.05) (tstamp 15add8fc-4302-461f-b98a-48b421c33d70))
(fp_line (start -26.43 -2.12) (end -25.48 -2.12) (layer "F.CrtYd") (width 0.05) (tstamp 20e1ce33-6456-46d4-85c4-35f4825ae208))
(fp_line (start -27.53 41.13) (end -25.48 41.13) (layer "F.CrtYd") (width 0.05) (tstamp 32539d05-5f2c-4795-9fd3-76e2010c03ab))
(fp_line (start -19.23 56.88) (end -25.48 56.88) (layer "F.CrtYd") (width 0.05) (tstamp 4db780f5-e495-4f31-a8a9-ef23a55b69bb))
(fp_line (start 5.02 -8.62) (end -25.48 -8.62) (layer "F.CrtYd") (width 0.05) (tstamp 50814d80-4099-4c7b-95a3-0a3ddd517234))
(fp_line (start -27.53 50.13) (end -25.48 50.13) (layer "F.CrtYd") (width 0.05) (tstamp 51341ca1-99a1-4a5d-b5aa-c68b5eba2834))
(fp_line (start -19.23 58.33) (end -19.23 56.88) (layer "F.CrtYd") (width 0.05) (tstamp 577a921a-5d16-4545-a43e-3893c6b7b20b))
(fp_line (start -27.53 50.13) (end -27.53 41.13) (layer "F.CrtYd") (width 0.05) (tstamp 5eca251c-312f-4a44-8e6b-efe8b9b08ce0))
(fp_line (start -25.48 -2.12) (end -25.48 -8.62) (layer "F.CrtYd") (width 0.05) (tstamp 5fcc6641-c4d3-4b03-a6f6-fa587455e0d8))
(fp_line (start -1.23 58.33) (end -1.23 56.88) (layer "F.CrtYd") (width 0.05) (tstamp 74e8d405-db94-4940-a108-21ab9c3ece03))
(fp_line (start -19.23 58.33) (end -1.23 58.33) (layer "F.CrtYd") (width 0.05) (tstamp 86676d37-5c4e-41c9-a76f-67ef21e2188d))
(fp_line (start -26.43 -2.12) (end -26.43 10.18) (layer "F.CrtYd") (width 0.05) (tstamp 9f60618d-258d-4414-8577-41866d6c74f8))
(fp_line (start -25.48 28.53) (end -25.48 10.18) (layer "F.CrtYd") (width 0.05) (tstamp a2f8aa92-6886-4be7-97ea-0c70daf5caf3))
(fp_line (start 5.02 56.88) (end -1.23 56.88) (layer "F.CrtYd") (width 0.05) (tstamp c1c4e25e-8593-4954-beef-69aef060f6bc))
(fp_line (start -27.53 37.53) (end -27.53 28.53) (layer "F.CrtYd") (width 0.05) (tstamp e5e02a27-bb29-4090-aa1e-288eba202868))
(fp_line (start -25.48 37.53) (end -25.48 41.13) (layer "F.CrtYd") (width 0.05) (tstamp f4256dd0-fd2f-4ca9-9dd1-60f301c8e2c5))
(fp_line (start -27.53 37.53) (end -25.48 37.53) (layer "F.CrtYd") (width 0.05) (tstamp f6d7502e-2251-433b-9c9d-0bda857712c6))
(fp_line (start 1.77 -8.37) (end -22.23 -8.37) (layer "F.Fab") (width 0.1) (tstamp 11933f49-fe97-450f-b414-5fd6a47a75ec))
(fp_line (start -1.73 56.63) (end -1.73 57.83) (layer "F.Fab") (width 0.1) (tstamp 1884a223-bfdb-4e85-8ceb-81d1bdb38efc))
(fp_line (start -22.23 56.63) (end -18.73 56.63) (layer "F.Fab") (width 0.1) (tstamp 21b53f3e-d317-4088-97aa-d5eab330e3a4))
(fp_line (start -25.23 -1.62) (end -25.23 -5.37) (layer "F.Fab") (width 0.1) (tstamp 428f276a-2e47-4bd2-bda0-e76f82170ad6))
(fp_line (start -25.23 29.03) (end -25.23 9.68) (layer "F.Fab") (width 0.1) (tstamp 463b27ec-acc0-40d9-8ef3-8e4b121d679a))
(fp_line (start 4.77 53.63) (end 4.77 -5.37) (layer "F.Fab") (width 0.1) (tstamp 515e3d95-8553-4650-87a0-6d968f372850))
(fp_line (start -25.23 9.68) (end -25.93 9.68) (layer "F.Fab") (width 0.1) (tstamp 5584b588-9e1a-4a68-8123-2dd0b1177cd9))
(fp_line (start -25.23 49.63) (end -27.03 49.63) (layer "F.Fab") (width 0.1) (tstamp 5e1535d9-15ea-432b-8f82-4856b1353d39))
(fp_line (start -25.23 41.63) (end -25.23 37.03) (layer "F.Fab") (width 0.1) (tstamp 5e2c0885-32bb-491b-888d-468ee1e8f2f4))
(fp_line (start -25.23 37.03) (end -27.03 37.03) (layer "F.Fab") (width 0.1) (tstamp 84672921-31f6-4347-8169-1036d3b39226))
(fp_line (start 1.77 56.63) (end -1.73 56.63) (layer "F.Fab") (width 0.1) (tstamp 899c155b-877d-4582-9f6d-640a09d7d3de))
(fp_line (start -25.23 -1.62) (end -25.93 -1.62) (layer "F.Fab") (width 0.1) (tstamp 98ad2817-0f14-4b9a-9b7b-5b6abbfd926e))
(fp_line (start -25.93 9.68) (end -25.93 -1.62) (layer "F.Fab") (width 0.1) (tstamp b49efc73-48ee-493a-9273-b9571996c779))
(fp_line (start -27.03 49.63) (end -27.03 41.63) (layer "F.Fab") (width 0.1) (tstamp b702664f-1db6-470b-a954-9758be095b62))
(fp_line (start -1.73 57.83) (end -18.73 57.83) (layer "F.Fab") (width 0.1) (tstamp b9c3745b-2b47-4ed0-ad19-3e280e3991ab))
(fp_line (start -25.23 49.63) (end -25.23 53.63) (layer "F.Fab") (width 0.1) (tstamp bdd3bd4f-c09d-402f-9684-2db8b48a1519))
(fp_line (start -18.73 56.63) (end -18.73 57.83) (layer "F.Fab") (width 0.1) (tstamp c2228a62-af09-4c13-8383-2851367af85a))
(fp_line (start -27.03 37.03) (end -27.03 29.03) (layer "F.Fab") (width 0.1) (tstamp c6f8d6a6-8ef0-4ae7-aafd-ff87be5566a0))
(fp_line (start -27.03 41.63) (end -25.23 41.63) (layer "F.Fab") (width 0.1) (tstamp d7e30df8-a3e8-413a-a577-c96b607f1472))
(fp_line (start -27.03 29.03) (end -25.23 29.03) (layer "F.Fab") (width 0.1) (tstamp eb886b08-7d97-448e-b1b2-da45eb48a600))
(fp_arc (start -25.23 -5.37) (mid -24.35132 -7.49132) (end -22.23 -8.37) (layer "F.Fab") (width 0.1) (tstamp 47d3fb2b-9ee0-4942-a8e1-8afa651e768b))
(fp_arc (start 4.77 53.63) (mid 3.89132 55.75132) (end 1.77 56.63) (layer "F.Fab") (width 0.1) (tstamp 4a08d3db-3cc5-4458-9f89-054a95a0dfc2))
(fp_arc (start -22.23 56.63) (mid -24.35132 55.75132) (end -25.23 53.63) (layer "F.Fab") (width 0.1) (tstamp 88e8a74c-c8ce-4bbd-8fc0-c44747b0ac99))
(fp_arc (start 1.77 -8.37) (mid 3.89132 -7.49132) (end 4.77 -5.37) (layer "F.Fab") (width 0.1) (tstamp a47df8a2-51f5-43d3-8341-e8f4cae5b9e7))
(pad "" thru_hole circle (at 1.27 -4.86) (size 6 6) (drill 4.09) (layers *.Cu *.Mask) (tstamp 5467d173-9ff5-493b-9f17-b61c9e53d7e5))
(pad "" thru_hole circle (at -21.73 -4.86) (size 6 6) (drill 4.09) (layers *.Cu *.Mask) (tstamp 5c33a4e2-e260-4b63-b409-a2f0d9dd08dc))
(pad "" thru_hole circle (at 1.27 53.14) (size 6 6) (drill 4.09) (layers *.Cu *.Mask) (tstamp a66ae854-16d4-4514-8dcd-dae6b3e6cdbe))
(pad "" thru_hole circle (at -21.73 53.14) (size 6 6) (drill 4.09) (layers *.Cu *.Mask) (tstamp edeffc50-edd9-4837-907c-1526b4365d8c))
(pad "1" smd rect (at -1.05 0) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 562c606f-ac68-41b5-a3dc-909d929d02da))
(pad "2" smd rect (at 3.59 0) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp de80757f-7164-4dad-8f1d-a0e6310743c4))
(pad "3" smd rect (at -1.05 2.54) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 24b00713-ae08-48b8-bbcd-382dcaa184f6))
(pad "4" smd rect (at 3.59 2.54) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4c906c6e-1163-4e84-a641-2d990e4db2bf))
(pad "5" smd rect (at -1.05 5.08) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3e83bf76-ebf5-4d4c-83ba-350d2a265346))
(pad "6" smd rect (at 3.59 5.08) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 66013b76-b27d-444b-9a5b-69581541fca6))
(pad "7" smd rect (at -1.05 7.62) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2155232f-ec2e-42a2-a821-804038cb84a2))
(pad "8" smd rect (at 3.59 7.62) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f8b7da72-e1a6-4347-aaee-f9e7a7519d54))
(pad "9" smd rect (at -1.05 10.16) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ab6a5b52-4ff4-4562-87b8-ad51a06ccd87))
(pad "10" smd rect (at 3.59 10.16) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 200cdff6-3454-4c61-bf50-42371d9955fd))
(pad "11" smd rect (at -1.05 12.7) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a215de83-80f2-424a-ae7f-f77243d821d0))
(pad "12" smd rect (at 3.59 12.7) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5825b7c2-e96d-499d-b44b-e6d34a3afc8b))
(pad "13" smd rect (at -1.05 15.24) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3da90aa5-5e0f-4f34-a096-3ecab7811c3a))
(pad "14" smd rect (at 3.59 15.24) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 26db7229-5aa3-4495-a7fe-fdb0072b1d6d))
(pad "15" smd rect (at -1.05 17.78) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 83f95c8f-46f7-4e3f-8f7f-96c4a6b4d802))
(pad "16" smd rect (at 3.59 17.78) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d6870a29-61a1-4588-89bc-640d9cd7a0d9))
(pad "17" smd rect (at -1.05 20.32) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 29772786-76cf-4189-a699-782e8bfbdefd))
(pad "18" smd rect (at 3.59 20.32) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4bb09c04-ecda-48c3-a935-059edcc09441))
(pad "19" smd rect (at -1.05 22.86) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ca71a11c-5af6-42b6-8768-700ff57bc1c5))
(pad "20" smd rect (at 3.59 22.86) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e08e2b56-4a0d-4e10-bdb8-a2b1d8ec1d10))
(pad "21" smd rect (at -1.05 25.4) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c64809af-93be-46e3-a095-21b67f273ccf))
(pad "22" smd rect (at 3.59 25.4) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 533a447a-5d91-4106-907d-b0613fd7403b))
(pad "23" smd rect (at -1.05 27.94) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fcfffa25-dfd7-41eb-9435-998a58b3dabc))
(pad "24" smd rect (at 3.59 27.94) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 01b3812d-1eef-4b90-87f4-a7b231a0df5b))
(pad "25" smd rect (at -1.05 30.48) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4e76f002-ae11-471e-95d7-af5cfc392ad8))
(pad "26" smd rect (at 3.59 30.48) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 14d1a88d-b1a7-4531-8b65-f0fcc37770a6))
(pad "27" smd rect (at -1.05 33.02) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e43b2a30-4061-44b7-a500-5102406c38f6))
(pad "28" smd rect (at 3.59 33.02) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a2ca8114-5b21-4e49-adf5-a12f0374847f))
(pad "29" smd rect (at -1.05 35.56) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 82c90ca8-bb82-4eb3-8974-bb22b4df0555))
(pad "30" smd rect (at 3.59 35.56) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9ee79058-77c7-4d50-b30e-4dc1ebf73679))
(pad "31" smd rect (at -1.05 38.1) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 27970c33-cb06-4e5e-9013-cc9cc6558041))
(pad "32" smd rect (at 3.59 38.1) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 331c0be3-3b39-4b2d-a7da-cf6b401b2a70))
(pad "33" smd rect (at -1.05 40.64) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a19603bc-647c-4d5d-add8-2bc4a9d5e494))
(pad "34" smd rect (at 3.59 40.64) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 46559b6a-7333-4f1c-8d30-93a33169fb88))
(pad "35" smd rect (at -1.05 43.18) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f4c16c94-dafc-4e4d-a116-b55cda0fc037))
(pad "36" smd rect (at 3.59 43.18) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 12167a5f-ae1b-4ec1-a143-6aa6920839ee))
(pad "37" smd rect (at -1.05 45.72) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0d75e81a-eb1a-467b-9456-7f6a0a9cf7e1))
(pad "38" smd rect (at 3.59 45.72) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e069ba31-5860-4832-8331-2a171dcf0e1c))
(pad "39" smd rect (at -1.05 48.26) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3ec6d18f-f258-4cb1-9e64-3ae2cc0c2812))
(pad "40" smd rect (at 3.59 48.26) (size 3.7 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0a7f3e41-7bff-4e72-a0be-9d82813177d0))
(model "${KIPRJMOD}/beepberry.pretty/3D/contacts.step"
(offset (xyz 1.5 0 3.5))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,190 @@
(footprint "beepberry_header" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 62B95114)
(descr "JST SH series connector, SM04B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator")
(tags "connector JST SH top entry")
(attr smd)
(fp_text reference "REF**" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 52f6ffa9-5c4c-4f56-b597-03a0d6903aa9)
)
(fp_text value "beepberry_header" (at 0 3.98) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp dbe91fae-ac1e-46eb-a0f2-f0dfff6a2010)
)
(fp_text user "GND" (at -3.048 -6.858 90 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.2)))
(tstamp 2efaba24-aee5-4bea-ae84-dbce9fb4b72e)
)
(fp_text user "3V3" (at -1.016 -6.858 90 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.2)))
(tstamp 5778953d-c3f1-4eab-88e0-47485d04ab27)
)
(fp_text user "io" (at 3.048 -6.858 90 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.2)))
(tstamp a2bb9bb3-7b79-4460-84fb-890b1c1622a7)
)
(fp_text user "io" (at 1.016 -6.858 90 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.2)))
(tstamp afb1784a-238f-485e-8c91-a30ea453f9c5)
)
(fp_text user "${REFERENCE}" (at 0.01 -1.778) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 51a502e9-5635-4e96-97f0-80e9b324d808)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp b07b068e-7d16-4526-8886-243bd64290f2)
)
(fp_line (start -2.54 -1.016) (end -1.651 -1.397) (layer "F.Cu") (width 0.2) (tstamp 215b984f-f71f-46ff-a8d2-05bf1978f373))
(fp_poly (pts
(xy -1.292597 -2.763582)
(xy -1.243934 -2.731066)
(xy -1.211418 -2.682403)
(xy -1.2 -2.625)
(xy -1.2 -1.375)
(xy -1.211418 -1.317597)
(xy -1.243934 -1.268934)
(xy -1.292597 -1.236418)
(xy -1.35 -1.225)
(xy -1.65 -1.225)
(xy -1.707403 -1.236418)
(xy -1.756066 -1.268934)
(xy -1.788582 -1.317597)
(xy -1.8 -1.375)
(xy -1.8 -2.625)
(xy -1.788582 -2.682403)
(xy -1.756066 -2.731066)
(xy -1.707403 -2.763582)
(xy -1.65 -2.775)
(xy -1.35 -2.775)
) (layer "F.Cu") (width 0) (fill solid) (tstamp 5ec50b16-a4c3-4c9e-bac3-2103cf7a28e3))
(fp_poly (pts
(xy -2.544329 -1.13397)
(xy -2.463223 -1.079777)
(xy -2.40903 -0.998671)
(xy -2.39 -0.903)
(xy -2.39 0.347)
(xy -2.40903 0.442671)
(xy -2.463223 0.523777)
(xy -2.544329 0.57797)
(xy -2.64 0.597)
(xy -3.34 0.597)
(xy -3.435671 0.57797)
(xy -3.516777 0.523777)
(xy -3.57097 0.442671)
(xy -3.59 0.347)
(xy -3.59 -0.903)
(xy -3.57097 -0.998671)
(xy -3.516777 -1.079777)
(xy -3.435671 -1.13397)
(xy -3.34 -1.153)
(xy -2.64 -1.153)
) (layer "F.Cu") (width 0) (fill solid) (tstamp 7023634c-6ea0-4279-a8ba-f2aa3b86e034))
(fp_line (start -1.94 2.685) (end 1.94 2.685) (layer "F.SilkS") (width 0.12) (tstamp 74730e07-24f7-4389-b564-17dea451f3cc))
(fp_line (start 2.51 -2.278) (end 2.51 2.082) (layer "F.SilkS") (width 0.12) (tstamp 8e46ddad-6bfa-40af-b04f-edc6699bc195))
(fp_line (start -2.49 -2.278) (end 2.51 -2.278) (layer "F.SilkS") (width 0.12) (tstamp 8f207e00-886c-4f46-9355-3a8e7985a8d3))
(fp_line (start -2.49 2.082) (end -2.49 -2.278) (layer "F.SilkS") (width 0.12) (tstamp f33894b1-3004-4ac0-b141-e83279084e93))
(fp_line (start 3.9 -3.28) (end -3.9 -3.28) (layer "F.CrtYd") (width 0.05) (tstamp 02449c7f-adb0-44ae-a822-68e8e0cc3f78))
(fp_line (start -3.9 -3.28) (end -3.9 3.28) (layer "F.CrtYd") (width 0.05) (tstamp 1bfd50f9-2686-4a2b-b49c-448ce0c538fb))
(fp_line (start 3.9 3.28) (end 3.9 -3.28) (layer "F.CrtYd") (width 0.05) (tstamp 54eb700b-3693-46a9-b2b3-e3651d2173df))
(fp_line (start -3.9 3.28) (end 3.9 3.28) (layer "F.CrtYd") (width 0.05) (tstamp 5ecdbb6f-136b-4fb9-ba2d-6c8f0f0161b1))
(fp_line (start -1.5 -0.967893) (end -1 -1.675) (layer "F.Fab") (width 0.1) (tstamp 464a0284-0cb4-42d4-9e4a-3c0e4152f113))
(fp_line (start -3 -1.675) (end 3 -1.675) (layer "F.Fab") (width 0.1) (tstamp 4ba01ea1-2070-4554-aacc-d2111fde2789))
(fp_line (start 3 -1.675) (end 3 2.575) (layer "F.Fab") (width 0.1) (tstamp 8aa6e80a-b598-4fa3-a03d-9c113a988fbe))
(fp_line (start -2 -1.675) (end -1.5 -0.967893) (layer "F.Fab") (width 0.1) (tstamp 8ce2daa2-486a-4cce-914e-816bcf02a1ef))
(fp_line (start -3 2.575) (end 3 2.575) (layer "F.Fab") (width 0.1) (tstamp c25991d3-db1e-46e0-afc6-aa98e512b748))
(fp_line (start -3 -1.675) (end -3 2.575) (layer "F.Fab") (width 0.1) (tstamp cb662ad1-069c-4469-974a-5d053fc4fdd2))
(pad "" smd custom (at 0.5 -2) (size 0.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
(options (clearance outline) (anchor circle))
(primitives
(gr_poly (pts
(xy 0.207403 -0.763582)
(xy 0.256066 -0.731066)
(xy 0.288582 -0.682403)
(xy 0.3 -0.625)
(xy 0.3 0.625)
(xy 0.288582 0.682403)
(xy 0.256066 0.731066)
(xy 0.207403 0.763582)
(xy 0.15 0.775)
(xy -0.15 0.775)
(xy -0.207403 0.763582)
(xy -0.256066 0.731066)
(xy -0.288582 0.682403)
(xy -0.3 0.625)
(xy -0.3 -0.625)
(xy -0.288582 -0.682403)
(xy -0.256066 -0.731066)
(xy -0.207403 -0.763582)
(xy -0.15 -0.775)
(xy 0.15 -0.775)
) (width 0) (fill yes))
) (tstamp 0e8da9d1-973b-4752-aba1-2a776a4ee377))
(pad "" smd oval (at -0.99 -0.278) (size 1.2 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp aae81720-20e6-4276-a88c-0d6e7e7f9f9d))
(pad "" smd custom (at -0.5 -2) (size 0.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
(options (clearance outline) (anchor circle))
(primitives
(gr_poly (pts
(xy 0.207403 -0.763582)
(xy 0.256066 -0.731066)
(xy 0.288582 -0.682403)
(xy 0.3 -0.625)
(xy 0.3 0.625)
(xy 0.288582 0.682403)
(xy 0.256066 0.731066)
(xy 0.207403 0.763582)
(xy 0.15 0.775)
(xy -0.15 0.775)
(xy -0.207403 0.763582)
(xy -0.256066 0.731066)
(xy -0.288582 0.682403)
(xy -0.3 0.625)
(xy -0.3 -0.625)
(xy -0.288582 -0.682403)
(xy -0.256066 -0.731066)
(xy -0.207403 -0.763582)
(xy -0.15 -0.775)
(xy 0.15 -0.775)
) (width 0) (fill yes))
) (tstamp b7e5121d-7433-403a-80c7-d646586632c9))
(pad "" smd oval (at 1.01 -0.278) (size 1.2 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp efbd2f04-62a1-49d5-9d60-2e126a66fb46))
(pad "" smd oval (at 3.01 -0.278) (size 1.2 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fa9ed6b5-4e5c-4243-98fd-8dcda9f36d63))
(pad "" smd custom (at 1.5 -2) (size 0.6 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
(options (clearance outline) (anchor circle))
(primitives
(gr_poly (pts
(xy 0.207403 -0.763582)
(xy 0.256066 -0.731066)
(xy 0.288582 -0.682403)
(xy 0.3 -0.625)
(xy 0.3 0.625)
(xy 0.288582 0.682403)
(xy 0.256066 0.731066)
(xy 0.207403 0.763582)
(xy 0.15 0.775)
(xy -0.15 0.775)
(xy -0.207403 0.763582)
(xy -0.256066 0.731066)
(xy -0.288582 0.682403)
(xy -0.3 0.625)
(xy -0.3 -0.625)
(xy -0.288582 -0.682403)
(xy -0.256066 -0.731066)
(xy -0.207403 -0.763582)
(xy -0.15 -0.775)
(xy 0.15 -0.775)
) (width 0) (fill yes))
) (tstamp fb22887d-b48e-430a-8cd6-9403b129548c))
(pad "1" thru_hole circle (at -2.99 -0.278) (size 1.2 1.2) (drill 0.75) (layers *.Cu *.Mask) (tstamp dcb7ef5d-30e6-47b3-91df-35b8913e714b))
(pad "2" thru_hole circle (at -0.99 -0.278) (size 1.2 1.2) (drill 0.75) (layers *.Cu *.Mask) (tstamp 34866301-0c06-41e6-b61c-d79ed2f47a6e))
(pad "3" thru_hole circle (at 1.01 -0.278) (size 1.2 1.2) (drill 0.75) (layers *.Cu *.Mask) (tstamp bda0f556-8d29-4b07-b0d8-dbf5ea25d41d))
(pad "4" thru_hole circle (at 3.01 -0.278) (size 1.2 1.2) (drill 0.75) (layers *.Cu *.Mask) (tstamp 9238f278-e076-49d9-bce4-e6f17a8f646e))
(pad "MP" smd roundrect (at 2.8 1.875) (size 1.2 1.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.208333) (tstamp a789a1fe-792d-4a94-a7b6-fd3c46b1af70))
(pad "MP" smd roundrect (at -2.8 1.875) (size 1.2 1.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.208333) (tstamp cc6c3e3f-65ac-4f7d-ac20-a13420e44e7d))
(model "${KIPRJMOD}/beepberry.pretty/3D/qwicc.step"
(offset (xyz 0 1.2 -0.3))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)

View File

@ -0,0 +1,46 @@
(footprint "beepberry_header_2" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 62BA5D2C)
(descr "JST SH series connector, SM04B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator")
(tags "connector JST SH top entry")
(attr smd)
(fp_text reference "REF**" (at 0 -3.98) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 52f6ffa9-5c4c-4f56-b597-03a0d6903aa9)
)
(fp_text value "beepberry_header_2" (at 0 3.98) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp dbe91fae-ac1e-46eb-a0f2-f0dfff6a2010)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp b07b068e-7d16-4526-8886-243bd64290f2)
)
(fp_line (start -1.94 2.685) (end 1.94 2.685) (layer "F.SilkS") (width 0.12) (tstamp 74730e07-24f7-4389-b564-17dea451f3cc))
(fp_line (start -3.11 0.715) (end -3.11 -1.785) (layer "F.SilkS") (width 0.12) (tstamp 7e720787-1243-45d2-8504-31240bc56952))
(fp_line (start 3.11 0.715) (end 3.11 -1.785) (layer "F.SilkS") (width 0.12) (tstamp 955de795-0dd9-47a8-b005-e6cb196025b1))
(fp_line (start -3.11 -1.785) (end -2.06 -1.785) (layer "F.SilkS") (width 0.12) (tstamp 9623cd15-8197-4f08-a37c-c6959d1726f4))
(fp_line (start 3.11 -1.785) (end 2.06 -1.785) (layer "F.SilkS") (width 0.12) (tstamp d23a1ccd-f436-477f-a3c5-acca9f216da5))
(fp_line (start -2.06 -1.785) (end -2.06 -2.775) (layer "F.SilkS") (width 0.12) (tstamp ee1e2ca1-b04d-4136-ad4c-d17c2418e5d0))
(fp_line (start 3.9 -3.28) (end -3.9 -3.28) (layer "F.CrtYd") (width 0.05) (tstamp 02449c7f-adb0-44ae-a822-68e8e0cc3f78))
(fp_line (start -3.9 -3.28) (end -3.9 3.28) (layer "F.CrtYd") (width 0.05) (tstamp 1bfd50f9-2686-4a2b-b49c-448ce0c538fb))
(fp_line (start 3.9 3.28) (end 3.9 -3.28) (layer "F.CrtYd") (width 0.05) (tstamp 54eb700b-3693-46a9-b2b3-e3651d2173df))
(fp_line (start -3.9 3.28) (end 3.9 3.28) (layer "F.CrtYd") (width 0.05) (tstamp 5ecdbb6f-136b-4fb9-ba2d-6c8f0f0161b1))
(fp_line (start -1.5 -0.967893) (end -1 -1.675) (layer "F.Fab") (width 0.1) (tstamp 464a0284-0cb4-42d4-9e4a-3c0e4152f113))
(fp_line (start -3 -1.675) (end 3 -1.675) (layer "F.Fab") (width 0.1) (tstamp 4ba01ea1-2070-4554-aacc-d2111fde2789))
(fp_line (start 3 -1.675) (end 3 2.575) (layer "F.Fab") (width 0.1) (tstamp 8aa6e80a-b598-4fa3-a03d-9c113a988fbe))
(fp_line (start -2 -1.675) (end -1.5 -0.967893) (layer "F.Fab") (width 0.1) (tstamp 8ce2daa2-486a-4cce-914e-816bcf02a1ef))
(fp_line (start -3 2.575) (end 3 2.575) (layer "F.Fab") (width 0.1) (tstamp c25991d3-db1e-46e0-afc6-aa98e512b748))
(fp_line (start -3 -1.675) (end -3 2.575) (layer "F.Fab") (width 0.1) (tstamp cb662ad1-069c-4469-974a-5d053fc4fdd2))
(pad "1" smd roundrect (at -1.5 -2) (size 0.6 1.55) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 17a10acd-6018-4ba7-8ecd-d4df812b64ef))
(pad "2" smd roundrect (at -0.5 -2) (size 0.6 1.55) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b7e5121d-7433-403a-80c7-d646586632c9))
(pad "3" smd roundrect (at 0.5 -2) (size 0.6 1.55) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0e8da9d1-973b-4752-aba1-2a776a4ee377))
(pad "4" smd roundrect (at 1.5 -2) (size 0.6 1.55) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp fb22887d-b48e-430a-8cd6-9403b129548c))
(pad "MP" smd roundrect (at 2.8 1.875) (size 1.2 1.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.208333) (tstamp a789a1fe-792d-4a94-a7b6-fd3c46b1af70))
(pad "MP" smd roundrect (at -2.8 1.875) (size 1.2 1.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.208333) (tstamp cc6c3e3f-65ac-4f7d-ac20-a13420e44e7d))
(model "${KICAD6_3DMODEL_DIR}/Connector_JST.3dshapes/JST_SH_SM04B-SRSS-TB_1x04-1MP_P1.00mm_Horizontal.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,46 @@
(footprint "beerp_header_2" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 5B78AD87)
(descr "JST SH series connector, SM04B-SRSS-TB (http://www.jst-mfg.com/product/pdf/eng/eSH.pdf), generated with kicad-footprint-generator")
(tags "connector JST SH top entry")
(attr smd)
(fp_text reference "REF**" (at 0 -3.98) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 52f6ffa9-5c4c-4f56-b597-03a0d6903aa9)
)
(fp_text value "beerp_header_2" (at 0 3.98) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp dbe91fae-ac1e-46eb-a0f2-f0dfff6a2010)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp b07b068e-7d16-4526-8886-243bd64290f2)
)
(fp_line (start -1.94 2.685) (end 1.94 2.685) (layer "F.SilkS") (width 0.12) (tstamp 74730e07-24f7-4389-b564-17dea451f3cc))
(fp_line (start -3.11 0.715) (end -3.11 -1.785) (layer "F.SilkS") (width 0.12) (tstamp 7e720787-1243-45d2-8504-31240bc56952))
(fp_line (start 3.11 0.715) (end 3.11 -1.785) (layer "F.SilkS") (width 0.12) (tstamp 955de795-0dd9-47a8-b005-e6cb196025b1))
(fp_line (start -3.11 -1.785) (end -2.06 -1.785) (layer "F.SilkS") (width 0.12) (tstamp 9623cd15-8197-4f08-a37c-c6959d1726f4))
(fp_line (start 3.11 -1.785) (end 2.06 -1.785) (layer "F.SilkS") (width 0.12) (tstamp d23a1ccd-f436-477f-a3c5-acca9f216da5))
(fp_line (start -2.06 -1.785) (end -2.06 -2.775) (layer "F.SilkS") (width 0.12) (tstamp ee1e2ca1-b04d-4136-ad4c-d17c2418e5d0))
(fp_line (start 3.9 -3.28) (end -3.9 -3.28) (layer "F.CrtYd") (width 0.05) (tstamp 02449c7f-adb0-44ae-a822-68e8e0cc3f78))
(fp_line (start -3.9 -3.28) (end -3.9 3.28) (layer "F.CrtYd") (width 0.05) (tstamp 1bfd50f9-2686-4a2b-b49c-448ce0c538fb))
(fp_line (start 3.9 3.28) (end 3.9 -3.28) (layer "F.CrtYd") (width 0.05) (tstamp 54eb700b-3693-46a9-b2b3-e3651d2173df))
(fp_line (start -3.9 3.28) (end 3.9 3.28) (layer "F.CrtYd") (width 0.05) (tstamp 5ecdbb6f-136b-4fb9-ba2d-6c8f0f0161b1))
(fp_line (start -1.5 -0.967893) (end -1 -1.675) (layer "F.Fab") (width 0.1) (tstamp 464a0284-0cb4-42d4-9e4a-3c0e4152f113))
(fp_line (start -3 -1.675) (end 3 -1.675) (layer "F.Fab") (width 0.1) (tstamp 4ba01ea1-2070-4554-aacc-d2111fde2789))
(fp_line (start 3 -1.675) (end 3 2.575) (layer "F.Fab") (width 0.1) (tstamp 8aa6e80a-b598-4fa3-a03d-9c113a988fbe))
(fp_line (start -2 -1.675) (end -1.5 -0.967893) (layer "F.Fab") (width 0.1) (tstamp 8ce2daa2-486a-4cce-914e-816bcf02a1ef))
(fp_line (start -3 2.575) (end 3 2.575) (layer "F.Fab") (width 0.1) (tstamp c25991d3-db1e-46e0-afc6-aa98e512b748))
(fp_line (start -3 -1.675) (end -3 2.575) (layer "F.Fab") (width 0.1) (tstamp cb662ad1-069c-4469-974a-5d053fc4fdd2))
(pad "1" smd roundrect (at -1.5 -2) (size 0.6 1.55) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 17a10acd-6018-4ba7-8ecd-d4df812b64ef))
(pad "2" smd roundrect (at -0.5 -2) (size 0.6 1.55) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b7e5121d-7433-403a-80c7-d646586632c9))
(pad "3" smd roundrect (at 0.5 -2) (size 0.6 1.55) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0e8da9d1-973b-4752-aba1-2a776a4ee377))
(pad "4" smd roundrect (at 1.5 -2) (size 0.6 1.55) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp fb22887d-b48e-430a-8cd6-9403b129548c))
(pad "MP" smd roundrect (at 2.8 1.875) (size 1.2 1.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.208333) (tstamp a789a1fe-792d-4a94-a7b6-fd3c46b1af70))
(pad "MP" smd roundrect (at -2.8 1.875) (size 1.2 1.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.208333) (tstamp cc6c3e3f-65ac-4f7d-ac20-a13420e44e7d))
(model "${KICAD6_3DMODEL_DIR}/Connector_JST.3dshapes/JST_SH_SM04B-SRSS-TB_1x04-1MP_P1.00mm_Horizontal.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

3
KiCad/fp-lib-table Normal file
View File

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name "beepberry")(type "KiCad")(uri "${KIPRJMOD}/beepberry.pretty")(options "")(descr ""))
)

6282
KiCad/keeb.step Normal file

File diff suppressed because it is too large Load Diff

3501
KiCad/rp2040.kicad_sch Normal file

File diff suppressed because it is too large Load Diff

7
KiCad/sym-lib-table Normal file
View File

@ -0,0 +1,7 @@
(sym_lib_table
(lib (name "beepberry")(type "KiCad")(uri "${KIPRJMOD}/beepberry.kicad_sym")(options "")(descr ""))
(lib (name "Keyboard")(type "KiCad")(uri "${KIPRJMOD}/Keyboard.kicad_sym")(options "")(descr ""))
(lib (name "MCU_RaspberryPi")(type "KiCad")(uri "${KIPRJMOD}/MCU_RaspberryPi.kicad_sym")(options "")(descr ""))
(lib (name "Memory_Flash_Extra")(type "KiCad")(uri "${KIPRJMOD}/Memory_Flash_Extra.kicad_sym")(options "")(descr ""))
(lib (name "TPS61030PWPR")(type "KiCad")(uri "${KIPRJMOD}/TPS61030PWPR.kicad_sym")(options "")(descr ""))
)

7
LICENSE Normal file
View File

@ -0,0 +1,7 @@
Copyright 2023 SQFMI
Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the “Software”), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions:
The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software.
THE SOFTWARE IS PROVIDED “AS IS”, WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.

1
README.md Normal file
View File

@ -0,0 +1 @@
# Beepberry Hardware