mirror of
https://github.com/notepad-plus-plus/notepad-plus-plus.git
synced 2025-07-24 06:14:47 +02:00
[RELEASE] Notepad++ 6.5 Release.
git-svn-id: svn://svn.tuxfamily.org/svnroot/notepadplus/repository/trunk@1127 f5eea248-9336-0410-98b8-ebc06183d4e3
This commit is contained in:
parent
f1e75559b2
commit
5d900beba2
@ -1,23 +1,22 @@
|
|||||||
Notepad++ v6.4.5 bug fixes:
|
Notepad++ v6.5 new features and bug fixes:
|
||||||
|
|
||||||
1. Fix a regression on recent files history.
|
1. Update Scintilla to version 3.3.4
|
||||||
|
2. Add language CoffeeScript support.
|
||||||
|
3. Add multi-paste support for multi-selection/column mode.
|
||||||
Notepad++ v6.4.4 new features and bug fixes:
|
4. Add new feature: auto-close parentheses, quotes, bracket and matched xml/html tag.
|
||||||
|
5. Add php, perl, xml, batch, ini and nsis for the build-in function list.
|
||||||
1. Fix a crash issue while there's missing tag in functionList.xml.
|
6. Add User Defined Languages support for the build-in function list.
|
||||||
2. Fix UTF-8 (w/o BOM) detection bug.
|
7. Add reload feature for the build-in function list.
|
||||||
3. Fix line numbers space problem while folding.
|
8. Fix a minor problem of file extension support for the build-in function list.
|
||||||
4. Fix opening file in Notepad++ makes Aero-snapped cancelled.
|
9. Fix a tag matching bug by disabling tag matching inside PHP code blocks.
|
||||||
5. Change behaviour: statusbar reports the number of selected characters instead of number of bytes now.
|
10. Fix right-to-left text after closing document map bug.
|
||||||
6. Add "close all tab to left" and "close all tab to right" features.
|
11. Fix cancelling shutdown make Notepad++ quit w/o saving files bug.
|
||||||
7. Add direct access to the tab feature (Ctrl + NumPad).
|
12. Enhance "Begin/End select" feature: Check "Begin/End select" menu item when active.
|
||||||
8. Fix macro replaying crash issue.
|
13. Enhance Mark all feature: 2 options "Match case" and "Match whole word only" in Find/Replace dialog .
|
||||||
9. Add insert blank line above/below the current line feature(Ctrl+Alt+Enter/Ctrl+Alt+Shift+Enter respectively).
|
14. Fix a Replace dialog status bar display problem by clearing status bar before processing any action.
|
||||||
10. Add selection between matching braces feature.
|
15. Add new feature: if Notepad++ is running as administrator, display this info on the title bar.
|
||||||
11. Fix opening file problem from the network drive.
|
16. Rename "block comment" to "Single line comment" and "stream comment" to "block comment".
|
||||||
12. Fix C++ class (*.h) parsing problem in function list while there is comment on the beginning of document.
|
17. Remove invalid command "Online Documentation".
|
||||||
13. Enhance function list's C/C++ parser.
|
|
||||||
|
|
||||||
|
|
||||||
Included plugins:
|
Included plugins:
|
||||||
|
Binary file not shown.
@ -7,7 +7,7 @@ Notepad++ is a free (as in "free speech" and also as in "free beer") source code
|
|||||||
Why another source code editor?
|
Why another source code editor?
|
||||||
*******************************
|
*******************************
|
||||||
|
|
||||||
The company where I worked used JEXT (another open source code editor in Java) as the production tool. Due to its poor performance, I began an investigation to find another solution (in C++ instead of in Java) in September 2003. I found Scintilla and built a prototype. Unfortunately this solution was not accepted. I removed the specific part and continued to develop it in my leisure time. On the 25th November 2003 it was made available on Sourceforge, and that was the birth of Notepad++.
|
The company I worked for used JEXT (another open source code editor in Java) as the production tool. Due to its poor performance, I began an investigation to find another solution (in C++ instead of in Java) in September 2003. I found Scintilla and built a prototype. (Fortunately :) ) This solution was not accepted. I removed the specific part and continued to develop it in my leisure time. On the 25th November 2003 it was made available on Sourceforge, hence the birth of Notepad++.
|
||||||
|
|
||||||
|
|
||||||
How to install:
|
How to install:
|
||||||
@ -25,9 +25,6 @@ Web sites:
|
|||||||
Notepad++ official site:
|
Notepad++ official site:
|
||||||
http://notepad-plus-plus.org/
|
http://notepad-plus-plus.org/
|
||||||
|
|
||||||
Notepad++ online document site:
|
|
||||||
http://npp-community.tuxfamily.org/
|
|
||||||
|
|
||||||
Notepad++ project site:
|
Notepad++ project site:
|
||||||
http://sourceforge.net/projects/notepad-plus/
|
http://sourceforge.net/projects/notepad-plus/
|
||||||
|
|
||||||
|
File diff suppressed because it is too large
Load Diff
@ -1,8 +1,8 @@
|
|||||||
<?xml version="1.0" encoding="windows-1252" ?>
|
<?xml version="1.0" encoding="windows-1252" ?>
|
||||||
<!--
|
<!--
|
||||||
Catalan translation
|
Catalan translation
|
||||||
for Notepad++ 6.4.2
|
for Notepad++ 6.4.5
|
||||||
Updated 11.07.2013
|
Updated 15.08.2013
|
||||||
By Hiro5 [groccat at gmail]
|
By Hiro5 [groccat at gmail]
|
||||||
-->
|
-->
|
||||||
<NotepadPlus>
|
<NotepadPlus>
|
||||||
@ -23,6 +23,7 @@ By Hiro5 [groccat at gmail]
|
|||||||
<Item idName="Window" name="F&inestres"/>
|
<Item idName="Window" name="F&inestres"/>
|
||||||
</Entries>
|
</Entries>
|
||||||
<SubEntries>
|
<SubEntries>
|
||||||
|
<Item subMenuId="file-closeMore" name = "Més tancaments"/>
|
||||||
<Item subMenuId="file-recentFiles" name="&Fitxers recents"/>
|
<Item subMenuId="file-recentFiles" name="&Fitxers recents"/>
|
||||||
<Item subMenuId="edit-copyToClipboard" name="Copia al porta&-retalls"/>
|
<Item subMenuId="edit-copyToClipboard" name="Copia al porta&-retalls"/>
|
||||||
<Item subMenuId="edit-indent" name="Tab&ulació"/>
|
<Item subMenuId="edit-indent" name="Tab&ulació"/>
|
||||||
@ -41,6 +42,7 @@ By Hiro5 [groccat at gmail]
|
|||||||
<Item subMenuId="view-showSymbol" name="Mostra sím&bol"/>
|
<Item subMenuId="view-showSymbol" name="Mostra sím&bol"/>
|
||||||
<Item subMenuId="view-zoom" name="&Zoom"/>
|
<Item subMenuId="view-zoom" name="&Zoom"/>
|
||||||
<Item subMenuId="view-moveCloneDocument" name="M&ou/clona el document actual"/>
|
<Item subMenuId="view-moveCloneDocument" name="M&ou/clona el document actual"/>
|
||||||
|
<Item subMenuId="view-tab" name = "Pestanyes"/>
|
||||||
<Item subMenuId="view-collapseLevel" name="P&lega el nivell..."/>
|
<Item subMenuId="view-collapseLevel" name="P&lega el nivell..."/>
|
||||||
<Item subMenuId="view-uncollapseLevel" name="De&splega el nivell..."/>
|
<Item subMenuId="view-uncollapseLevel" name="De&splega el nivell..."/>
|
||||||
<Item subMenuId="view-project" name="Pro&jectes"/>
|
<Item subMenuId="view-project" name="Pro&jectes"/>
|
||||||
@ -75,6 +77,8 @@ By Hiro5 [groccat at gmail]
|
|||||||
<Item id="41003" name="&Tanca"/>
|
<Item id="41003" name="&Tanca"/>
|
||||||
<Item id="41004" name="Tanca'&ls tots"/>
|
<Item id="41004" name="Tanca'&ls tots"/>
|
||||||
<Item id="41005" name="Tanca'ls tots &menys l'actiu"/>
|
<Item id="41005" name="Tanca'ls tots &menys l'actiu"/>
|
||||||
|
<Item id="41009" name="Tanca tots els de l'esquerra"/>
|
||||||
|
<Item id="41018" name="Tanca tots els de la dreta"/>
|
||||||
<Item id="41016" name="Es&borra del disc"/>
|
<Item id="41016" name="Es&borra del disc"/>
|
||||||
<Item id="41012" name="Carre&ga Sessió..."/>
|
<Item id="41012" name="Carre&ga Sessió..."/>
|
||||||
<Item id="41013" name="Desa &Sessió..."/>
|
<Item id="41013" name="Desa &Sessió..."/>
|
||||||
@ -105,6 +109,8 @@ By Hiro5 [groccat at gmail]
|
|||||||
<Item id="42015" name="Mou a&vall aquesta línia"/>
|
<Item id="42015" name="Mou a&vall aquesta línia"/>
|
||||||
<Item id="42055" name="&Esborra línies buides"/>
|
<Item id="42055" name="&Esborra línies buides"/>
|
||||||
<Item id="42056" name="Esborra línies &buides o amb espais en blanc"/>
|
<Item id="42056" name="Esborra línies &buides o amb espais en blanc"/>
|
||||||
|
<Item id="42057" name="Afegeix línia en blanc a sobre"/>
|
||||||
|
<Item id="42058" name="Afegeix línia en blanc a sota"/>
|
||||||
<Item id="42022" name="Afegeix/Treu símbol de comentari de &línia/es"/>
|
<Item id="42022" name="Afegeix/Treu símbol de comentari de &línia/es"/>
|
||||||
<Item id="42035" name="&Afegeix símbol de comentari de línia/es"/>
|
<Item id="42035" name="&Afegeix símbol de comentari de línia/es"/>
|
||||||
<Item id="42036" name="&Treu símbol de comentari de línia/es"/>
|
<Item id="42036" name="&Treu símbol de comentari de línia/es"/>
|
||||||
@ -150,6 +156,7 @@ By Hiro5 [groccat at gmail]
|
|||||||
<Item id="43047" name="Resul&tat de cerca anterior"/>
|
<Item id="43047" name="Resul&tat de cerca anterior"/>
|
||||||
<Item id="43004" name="Vés a la línia o &posició..."/>
|
<Item id="43004" name="Vés a la línia o &posició..."/>
|
||||||
<Item id="43009" name="Vés a l'altre extrem del &bloc"/>
|
<Item id="43009" name="Vés a l'altre extrem del &bloc"/>
|
||||||
|
<Item id="43053" name="Selecciona el bloc"/>
|
||||||
<Item id="43016" name="Marca&-ho tot"/>
|
<Item id="43016" name="Marca&-ho tot"/>
|
||||||
<Item id="43022" name="Utilitza &1r. estil"/>
|
<Item id="43022" name="Utilitza &1r. estil"/>
|
||||||
<Item id="43024" name="Utilitza &2n. estil"/>
|
<Item id="43024" name="Utilitza &2n. estil"/>
|
||||||
@ -201,6 +208,17 @@ By Hiro5 [groccat at gmail]
|
|||||||
<Item id="10002" name="&Clona a una altra vista"/>
|
<Item id="10002" name="&Clona a una altra vista"/>
|
||||||
<Item id="10003" name="Mou a una nova fine&stra"/>
|
<Item id="10003" name="Mou a una nova fine&stra"/>
|
||||||
<Item id="10004" name="Cl&ona a una nova finestra"/>
|
<Item id="10004" name="Cl&ona a una nova finestra"/>
|
||||||
|
<Item id="44086" name="1a. pestanya"/>
|
||||||
|
<Item id="44087" name="2a. pestanya"/>
|
||||||
|
<Item id="44088" name="3a. pestanya"/>
|
||||||
|
<Item id="44089" name="4a. pestanya"/>
|
||||||
|
<Item id="44090" name="5a. pestanya"/>
|
||||||
|
<Item id="44091" name="6a. pestanya"/>
|
||||||
|
<Item id="44092" name="7a. pestanya"/>
|
||||||
|
<Item id="44093" name="8a. pestanya"/>
|
||||||
|
<Item id="44094" name="9a. pestanya"/>
|
||||||
|
<Item id="44095" name="Pestanya següent"/>
|
||||||
|
<Item id="44096" name="Pestanya anterior"/>
|
||||||
<Item id="44022" name="Ajusta el &text"/>
|
<Item id="44022" name="Ajusta el &text"/>
|
||||||
<Item id="44072" name="Activa la vista p&rincipal"/>
|
<Item id="44072" name="Activa la vista p&rincipal"/>
|
||||||
<Item id="44042" name="Ama&ga les línies"/>
|
<Item id="44042" name="Ama&ga les línies"/>
|
||||||
@ -274,6 +292,8 @@ By Hiro5 [groccat at gmail]
|
|||||||
<TabBar>
|
<TabBar>
|
||||||
<Item CMID="0" name="&Tanca"/>
|
<Item CMID="0" name="&Tanca"/>
|
||||||
<Item CMID="1" name="Tanca'ls tots m&enys aquest"/>
|
<Item CMID="1" name="Tanca'ls tots m&enys aquest"/>
|
||||||
|
<Item CMID="17" name="Tanca tots els de l'esquerra"/>
|
||||||
|
<Item CMID="18" name="Tanca tots els de la dreta"/>
|
||||||
<Item CMID="2" name="&Desa"/>
|
<Item CMID="2" name="&Desa"/>
|
||||||
<Item CMID="3" name="Desa &com a..."/>
|
<Item CMID="3" name="Desa &com a..."/>
|
||||||
<Item CMID="10" name="Canvia el &nom..."/>
|
<Item CMID="10" name="Canvia el &nom..."/>
|
||||||
@ -731,6 +751,19 @@ By Hiro5 [groccat at gmail]
|
|||||||
<Item id="6813" name="caràcters ordinals anglesos"/>
|
<Item id="6813" name="caràcters ordinals anglesos"/>
|
||||||
<Item id="6814" name="Valors vàlids: 1 - 9"/>
|
<Item id="6814" name="Valors vàlids: 1 - 9"/>
|
||||||
</AutoCompletion>
|
</AutoCompletion>
|
||||||
|
<MultiInstance title="Múltiples instàncies">
|
||||||
|
<Item id="6151" name="Opcions de múltiples instàncies"/>
|
||||||
|
<Item id="6152" name="Obre sessió en nova instància de Notepad++"/>
|
||||||
|
<Item id="6153" name="Sempre en mode de múltiples instàncies"/>
|
||||||
|
<Item id="6154" name="Per defecte (una instància)"/>
|
||||||
|
<Item id="6155" name="La modificació d'aquest paràmetre necessita reiniciar Notepad++"/>
|
||||||
|
</MultiInstance>
|
||||||
|
<Delimiter title="Delimitació">
|
||||||
|
<Item id="6251" name="Opcions de selecció de delimitació (Control + doble clic del ratolí)"/>
|
||||||
|
<Item id="6252" name="Obre"/>
|
||||||
|
<Item id="6255" name="Tanca"/>
|
||||||
|
<Item id="6256" name="Permet en diverses línies"/>
|
||||||
|
</Delimiter>
|
||||||
</Preference>
|
</Preference>
|
||||||
<MultiMacro title="Executa una macro diverses vegades">
|
<MultiMacro title="Executa una macro diverses vegades">
|
||||||
<Item id="8006" name="Macro a executar:"/>
|
<Item id="8006" name="Macro a executar:"/>
|
||||||
|
File diff suppressed because it is too large
Load Diff
@ -1,11 +1,11 @@
|
|||||||
<?xml version="1.0" encoding="Windows-1250" ?>
|
<?xml version="1.0" encoding="Windows-1250" ?>
|
||||||
<!--
|
<!--
|
||||||
- intended for version: 6.4.2
|
- intended for version: 6.5
|
||||||
- last change: 10 Jul 2013 by Ondřej Müller (mullero@email.cz)
|
- last change: 23 Sep 2013 by Ondřej Müller (mullero@email.cz)
|
||||||
- all Czech translations at: http://sourceforge.net/projects/notepad-plus/forums/forum/558104/topic/3099029
|
- all Czech translations at: http://sourceforge.net/projects/notepad-plus/forums/forum/558104/topic/3099029
|
||||||
-->
|
-->
|
||||||
<NotepadPlus>
|
<NotepadPlus>
|
||||||
<Native-Langue name = "Čeština" filename="czech.xml" >
|
<Native-Langue name = "Čeština" filename="czech.xml">
|
||||||
<Menu>
|
<Menu>
|
||||||
<Main>
|
<Main>
|
||||||
<!-- Main Menu Entries -->
|
<!-- Main Menu Entries -->
|
||||||
@ -25,6 +25,7 @@
|
|||||||
|
|
||||||
<!-- Sub Menu Entries -->
|
<!-- Sub Menu Entries -->
|
||||||
<SubEntries>
|
<SubEntries>
|
||||||
|
<!-- <Item subMenuId="file-closeMore" name = "Zavřít vícero souborů"> missing -->
|
||||||
<Item subMenuId="file-recentFiles" name = "Historie posledních souborů"/>
|
<Item subMenuId="file-recentFiles" name = "Historie posledních souborů"/>
|
||||||
<Item subMenuId="edit-copyToClipboard" name = "Kopírovat do Schránky"/>
|
<Item subMenuId="edit-copyToClipboard" name = "Kopírovat do Schránky"/>
|
||||||
<Item subMenuId="edit-indent" name = "Odsazení"/>
|
<Item subMenuId="edit-indent" name = "Odsazení"/>
|
||||||
@ -81,11 +82,12 @@
|
|||||||
<Item id = "41011" name = "Kone&c"/>
|
<Item id = "41011" name = "Kone&c"/>
|
||||||
<Item id = "41012" name = "Nahrát relaci..."/>
|
<Item id = "41012" name = "Nahrát relaci..."/>
|
||||||
<Item id = "41013" name = "Uložit relaci..."/>
|
<Item id = "41013" name = "Uložit relaci..."/>
|
||||||
<Item id = "41014" name = "Znovu otevřít"/>
|
<Item id = "41014" name = "Znovu načíst z disku"/>
|
||||||
<Item id = "41015" name = "Uložit kopii jako..."/>
|
<Item id = "41015" name = "Uložit kopii jako..."/>
|
||||||
<Item id = "41016" name = "Odstranit"/>
|
<Item id = "41016" name = "Odstranit"/>
|
||||||
<Item id = "41017" name = "Přejmenovat..."/>
|
<Item id = "41017" name = "Přejmenovat..."/>
|
||||||
|
<Item id = "41009" name = "Zavřít vše nalevo"/>
|
||||||
|
<Item id = "41018" name = "Zavřít vše napravo"/>
|
||||||
<Item id = "42001" name = "Vyjmou&t"/>
|
<Item id = "42001" name = "Vyjmou&t"/>
|
||||||
<Item id = "42002" name = "&Kopírovat"/>
|
<Item id = "42002" name = "&Kopírovat"/>
|
||||||
<Item id = "42003" name = "&Zpět"/>
|
<Item id = "42003" name = "&Zpět"/>
|
||||||
@ -106,8 +108,8 @@
|
|||||||
<Item id = "42019" name = "&Ukončit nahrávání"/>
|
<Item id = "42019" name = "&Ukončit nahrávání"/>
|
||||||
<Item id = "42020" name = "Vyber začátek/konec"/>
|
<Item id = "42020" name = "Vyber začátek/konec"/>
|
||||||
<Item id = "42021" name = "&Přehrát"/>
|
<Item id = "42021" name = "&Přehrát"/>
|
||||||
<Item id = "42022" name = "Zakomentovat/odkomentovat blok (//)"/>
|
<Item id = "42022" name = "Zakomentovat/odkomentovat jednotlivé řádky"/>
|
||||||
<Item id = "42023" name = "Průběžně zakomentovat/odkomentovat blok (/**/)"/>
|
<Item id = "42023" name = "Zakomentovat/odkomentovat blok"/>
|
||||||
<Item id = "42024" name = "Odstranit koncové mezery"/>
|
<Item id = "42024" name = "Odstranit koncové mezery"/>
|
||||||
<Item id = "42025" name = "Uložit aktuálně zaznamenané makro"/>
|
<Item id = "42025" name = "Uložit aktuálně zaznamenané makro"/>
|
||||||
<Item id = "42026" name = "Směr textu zprava doleva"/>
|
<Item id = "42026" name = "Směr textu zprava doleva"/>
|
||||||
@ -128,7 +130,7 @@
|
|||||||
<Item id = "42044" name = "Konce řádků (EOL) na mezery"/>
|
<Item id = "42044" name = "Konce řádků (EOL) na mezery"/>
|
||||||
<Item id = "42045" name = "Odstranit nepotřebné prázdné znaky a EOLy"/>
|
<Item id = "42045" name = "Odstranit nepotřebné prázdné znaky a EOLy"/>
|
||||||
<Item id = "42046" name = "Tabulátory na mezery"/>
|
<Item id = "42046" name = "Tabulátory na mezery"/>
|
||||||
<Item id = "42047" name = "Odstranit průběžné komentáře (/**/)"/>
|
<Item id = "42047" name = "Odstranit blokové komentáře"/>
|
||||||
<Item id = "42048" name = "Kopírovat binární obsah"/>
|
<Item id = "42048" name = "Kopírovat binární obsah"/>
|
||||||
<Item id = "42049" name = "Vyjmout binární obsah"/>
|
<Item id = "42049" name = "Vyjmout binární obsah"/>
|
||||||
<Item id = "42050" name = "Vložit binární obsah"/>
|
<Item id = "42050" name = "Vložit binární obsah"/>
|
||||||
@ -138,6 +140,8 @@
|
|||||||
<Item id = "42054" name = "Mezery na tabulátory (všechny)"/>
|
<Item id = "42054" name = "Mezery na tabulátory (všechny)"/>
|
||||||
<Item id = "42055" name = "Odstranit prázdné řádky"/>
|
<Item id = "42055" name = "Odstranit prázdné řádky"/>
|
||||||
<Item id = "42056" name = "Odstranit prázdné řádky (obsahující prázdné znaky)"/>
|
<Item id = "42056" name = "Odstranit prázdné řádky (obsahující prázdné znaky)"/>
|
||||||
|
<Item id = "42057" name = "Vložit prázdný řádek nad aktuální"/>
|
||||||
|
<Item id = "42058" name = "Vložit prázdný řádek pod aktuální"/>
|
||||||
|
|
||||||
<Item id = "43001" name = "&Najít..."/>
|
<Item id = "43001" name = "&Najít..."/>
|
||||||
<Item id = "43002" name = "Najít &další"/>
|
<Item id = "43002" name = "Najít &další"/>
|
||||||
@ -190,6 +194,7 @@
|
|||||||
<Item id = "43050" name = "Inverze záložky"/>
|
<Item id = "43050" name = "Inverze záložky"/>
|
||||||
<Item id = "43051" name = "Odstranit neoznačené řádky"/>
|
<Item id = "43051" name = "Odstranit neoznačené řádky"/>
|
||||||
<Item id = "43052" name = "Najít znaky z rozsahu..."/>
|
<Item id = "43052" name = "Najít znaky z rozsahu..."/>
|
||||||
|
<Item id = "43053" name = "Vybrat vše mezi souvisejícími závorkami"/>
|
||||||
<Item id = "44009" name = "Zobrazit pouze okno dokumentu"/>
|
<Item id = "44009" name = "Zobrazit pouze okno dokumentu"/>
|
||||||
<Item id = "44010" name = "Sbalit vše"/>
|
<Item id = "44010" name = "Sbalit vše"/>
|
||||||
<Item id = "44011" name = "Panel Uživatelsky definované syntaxe"/>
|
<Item id = "44011" name = "Panel Uživatelsky definované syntaxe"/>
|
||||||
@ -220,6 +225,17 @@
|
|||||||
<Item id = "44082" name = "Panel 2"/>
|
<Item id = "44082" name = "Panel 2"/>
|
||||||
<Item id = "44083" name = "Panel 3"/>
|
<Item id = "44083" name = "Panel 3"/>
|
||||||
<Item id = "44084" name = "Seznam funkcí"/>
|
<Item id = "44084" name = "Seznam funkcí"/>
|
||||||
|
<Item id = "44086" name = "1. Tabulátor"/>
|
||||||
|
<Item id = "44087" name = "2. Tabulátor"/>
|
||||||
|
<Item id = "44088" name = "3. Tabulátor"/>
|
||||||
|
<Item id = "44089" name = "4. Tabulátor"/>
|
||||||
|
<Item id = "44090" name = "5. Tabulátor"/>
|
||||||
|
<Item id = "44091" name = "6. Tabulátor"/>
|
||||||
|
<Item id = "44092" name = "7. Tabulátor"/>
|
||||||
|
<Item id = "44093" name = "8. Tabulátor"/>
|
||||||
|
<Item id = "44094" name = "9. Tabulátor"/>
|
||||||
|
<Item id = "44095" name = "Další tabulátor"/>
|
||||||
|
<Item id = "44096" name = "Předchozi tabulátor"/>
|
||||||
|
|
||||||
<Item id = "45001" name = "Konvertovat do formátu Windows"/>
|
<Item id = "45001" name = "Konvertovat do formátu Windows"/>
|
||||||
<Item id = "45002" name = "Konvertovat do formátu UNIX"/>
|
<Item id = "45002" name = "Konvertovat do formátu UNIX"/>
|
||||||
@ -290,6 +306,9 @@
|
|||||||
<Item CMID = "13" name = "Vymazat příznak Pouze ke čtení"/>
|
<Item CMID = "13" name = "Vymazat příznak Pouze ke čtení"/>
|
||||||
<Item CMID = "14" name = "Jít na novou instanci"/>
|
<Item CMID = "14" name = "Jít na novou instanci"/>
|
||||||
<Item CMID = "15" name = "Otevřít v nové instanci"/>
|
<Item CMID = "15" name = "Otevřít v nové instanci"/>
|
||||||
|
<Item CMID = "16" name = "Znovu načíst"/>
|
||||||
|
<Item CMID = "17" name = "Zavřít vše nalevo"/>
|
||||||
|
<Item CMID = "18" name = "Zavřít vše napravo"/>
|
||||||
</TabBar>
|
</TabBar>
|
||||||
</Menu>
|
</Menu>
|
||||||
|
|
||||||
@ -685,7 +704,7 @@
|
|||||||
<Item id = "6420" name = "Aplikovat při otevř. ANSI-souboru"/>
|
<Item id = "6420" name = "Aplikovat při otevř. ANSI-souboru"/>
|
||||||
<Item id = "-1" name = "Zobrazení"/>
|
<Item id = "-1" name = "Zobrazení"/>
|
||||||
</NewDoc>
|
</NewDoc>
|
||||||
<DefaultDir title="Implicitní adresář">
|
<DefaultDir title = "Implicitní adresář">
|
||||||
<Item id = "6413" name = "Implicitní adresář pro otevírání/ukládání souborů"/>
|
<Item id = "6413" name = "Implicitní adresář pro otevírání/ukládání souborů"/>
|
||||||
<Item id = "6414" name = "Podle aktuálního dokumentu"/>
|
<Item id = "6414" name = "Podle aktuálního dokumentu"/>
|
||||||
<Item id = "6415" name = "Zapamatovat si poslední používaný adresář"/>
|
<Item id = "6415" name = "Zapamatovat si poslední používaný adresář"/>
|
||||||
@ -700,7 +719,7 @@
|
|||||||
<Item id = "6507" name = "Použít kompaktní menu pro syntaxe"/>
|
<Item id = "6507" name = "Použít kompaktní menu pro syntaxe"/>
|
||||||
<Item id = "6508" name = "Nabídka syntaxí"/>
|
<Item id = "6508" name = "Nabídka syntaxí"/>
|
||||||
</LangMenu>
|
</LangMenu>
|
||||||
<TabSettings title="Nastavení tabulátoru">
|
<TabSettings title = "Nastavení tabulátoru">
|
||||||
<Item id = "6301" name = "Nastavení tabulátoru"/>
|
<Item id = "6301" name = "Nastavení tabulátoru"/>
|
||||||
<Item id = "6302" name = "Zaměnit za mezery"/>
|
<Item id = "6302" name = "Zaměnit za mezery"/>
|
||||||
<Item id = "6303" name = "Velikost tabulátoru:"/>
|
<Item id = "6303" name = "Velikost tabulátoru:"/>
|
||||||
@ -735,7 +754,7 @@
|
|||||||
<Item id = "6727" name = "Která část:"/>
|
<Item id = "6727" name = "Která část:"/>
|
||||||
<Item id = "6728" name = "Záhlaví a zápatí"/>
|
<Item id = "6728" name = "Záhlaví a zápatí"/>
|
||||||
</Print>
|
</Print>
|
||||||
<RecentFilesHistory title="Historie posl. souborů">
|
<RecentFilesHistory title = "Historie posl. souborů">
|
||||||
<Item id = "6304" name = "Historie posledních souborů"/>
|
<Item id = "6304" name = "Historie posledních souborů"/>
|
||||||
<Item id = "6305" name = "Nekontrolovat při startu"/>
|
<Item id = "6305" name = "Nekontrolovat při startu"/>
|
||||||
<Item id = "6306" name = "Max. počet položek:"/>
|
<Item id = "6306" name = "Max. počet položek:"/>
|
||||||
@ -781,7 +800,7 @@
|
|||||||
<Item id = "6804" name = "Uživatelský adresář pro zálohy"/>
|
<Item id = "6804" name = "Uživatelský adresář pro zálohy"/>
|
||||||
<Item id = "6803" name = "Adresář:"/>
|
<Item id = "6803" name = "Adresář:"/>
|
||||||
</Backup>
|
</Backup>
|
||||||
<AutoCompletion title="Automat. dokončování">
|
<AutoCompletion title = "Automat. dokončování">
|
||||||
<Item id = "6807" name = "Automatické dokončování"/>
|
<Item id = "6807" name = "Automatické dokončování"/>
|
||||||
<Item id = "6808" name = "Povolit autom. dokončování každého vstupu"/>
|
<Item id = "6808" name = "Povolit autom. dokončování každého vstupu"/>
|
||||||
<Item id = "6809" name = "Dokončování funkcí"/>
|
<Item id = "6809" name = "Dokončování funkcí"/>
|
||||||
@ -791,6 +810,21 @@
|
|||||||
<Item id = "6814" name = "Platný rozsah : 1 - 9"/>
|
<Item id = "6814" name = "Platný rozsah : 1 - 9"/>
|
||||||
<Item id = "6815" name = "Nápověda k parametrům funkcí při zadávaní"/>
|
<Item id = "6815" name = "Nápověda k parametrům funkcí při zadávaní"/>
|
||||||
</AutoCompletion>
|
</AutoCompletion>
|
||||||
|
<Multi-instance title = "Multi-instance">
|
||||||
|
<Item id = "6151" name = "Nastavení multi-instančního módu"/>
|
||||||
|
<Item id = "6152" name = "Otevírat relaci v nové instanci Notepadu++"/>
|
||||||
|
<Item id = "6153" name = "Vždy v multi-instančním módu"/>
|
||||||
|
<Item id = "6154" name = "Implicitní (mono-instanční mód)"/>
|
||||||
|
<Item id = "6155" name = "* Změna tohoto nastavení vyžaduje restart Notepadu++"/>
|
||||||
|
</Multi-instance>
|
||||||
|
<Delimiter title = "Ohraničení">
|
||||||
|
<Item id = "6251" name = "Nastavení pro ohraničující výběr (Ctrl + dvojklik myší)"/>
|
||||||
|
<Item id = "6252" name = "Úvod"/>
|
||||||
|
<Item id = "6255" name = "Konec"/>
|
||||||
|
<Item id = "6256" name = "Povolit přes více řádků"/>
|
||||||
|
<Item id = "6257" name = "bla bla bla bla bla bla"/>
|
||||||
|
<Item id = "6258" name = "bla bla bla bla bla bla bla bla bla bla bla bla"/>
|
||||||
|
</Delimiter>
|
||||||
</Preference>
|
</Preference>
|
||||||
|
|
||||||
<MultiMacro title = "Spustit makro vícekrát">
|
<MultiMacro title = "Spustit makro vícekrát">
|
||||||
@ -854,7 +888,7 @@
|
|||||||
<WorkspaceMenu>
|
<WorkspaceMenu>
|
||||||
<Item id = "3122" name = "Nová pracovní plocha"/>
|
<Item id = "3122" name = "Nová pracovní plocha"/>
|
||||||
<Item id = "3123" name = "Otevřít pracovní plochu"/>
|
<Item id = "3123" name = "Otevřít pracovní plochu"/>
|
||||||
<Item id = "3124" name = "Znovu otevřít pracovní plochu"/>
|
<Item id = "3124" name = "Znovu načíst pracovní plochu"/>
|
||||||
<Item id = "3125" name = "Uložit"/>
|
<Item id = "3125" name = "Uložit"/>
|
||||||
<Item id = "3126" name = "Uložit jako..."/>
|
<Item id = "3126" name = "Uložit jako..."/>
|
||||||
<Item id = "3127" name = "Uložit kopii jako..."/>
|
<Item id = "3127" name = "Uložit kopii jako..."/>
|
||||||
|
@ -1,7 +1,7 @@
|
|||||||
<?xml version="1.0" encoding="Windows-1252" ?>
|
<?xml version="1.0" encoding="Windows-1252" ?>
|
||||||
<NotepadPlus>
|
<NotepadPlus>
|
||||||
<Native-Langue name = "Dansk" filename="danish.xml" >
|
<Native-Langue name = "Dansk" filename="danish.xml" >
|
||||||
<!-- Updated for ver. 6.4.2-->
|
<!-- Updated for ver. 6.5-->
|
||||||
<Menu>
|
<Menu>
|
||||||
<Main>
|
<Main>
|
||||||
<!-- Main Menu Entries -->
|
<!-- Main Menu Entries -->
|
||||||
@ -21,6 +21,7 @@
|
|||||||
|
|
||||||
<!-- Sub Menu Entries -->
|
<!-- Sub Menu Entries -->
|
||||||
<SubEntries>
|
<SubEntries>
|
||||||
|
<Item subMenuId="file-closeMore" name = "Luk flere"/>
|
||||||
<Item subMenuId="file-recentFiles" name = "Seneste filer"/>
|
<Item subMenuId="file-recentFiles" name = "Seneste filer"/>
|
||||||
<Item subMenuId="edit-copyToClipboard" name = "Kopier til &udklipsholder"/>
|
<Item subMenuId="edit-copyToClipboard" name = "Kopier til &udklipsholder"/>
|
||||||
<Item subMenuId="edit-indent" name = "Indr&yk"/>
|
<Item subMenuId="edit-indent" name = "Indr&yk"/>
|
||||||
@ -39,6 +40,7 @@
|
|||||||
<Item subMenuId="view-showSymbol" name = "&Vis symbol"/>
|
<Item subMenuId="view-showSymbol" name = "&Vis symbol"/>
|
||||||
<Item subMenuId="view-zoom" name = "&Zoom"/>
|
<Item subMenuId="view-zoom" name = "&Zoom"/>
|
||||||
<Item subMenuId="view-moveCloneDocument" name = "&Gå til/klon pågældende dokument"/>
|
<Item subMenuId="view-moveCloneDocument" name = "&Gå til/klon pågældende dokument"/>
|
||||||
|
<Item subMenuId="view-tab" name = "Faneblad"/>
|
||||||
<Item subMenuId="view-collapseLevel" name = "&Sammenklap trin"/>
|
<Item subMenuId="view-collapseLevel" name = "&Sammenklap trin"/>
|
||||||
<Item subMenuId="view-uncollapseLevel" name = "&Udklap trin"/>
|
<Item subMenuId="view-uncollapseLevel" name = "&Udklap trin"/>
|
||||||
<Item subMenuId="view-project" name = "&Projekt"/>
|
<Item subMenuId="view-project" name = "&Projekt"/>
|
||||||
@ -72,6 +74,7 @@
|
|||||||
<Item id = "41006" name = "&Gem"/>
|
<Item id = "41006" name = "&Gem"/>
|
||||||
<Item id = "41007" name = "Gem &alle"/>
|
<Item id = "41007" name = "Gem &alle"/>
|
||||||
<Item id = "41008" name = "Gem &som…"/>
|
<Item id = "41008" name = "Gem &som…"/>
|
||||||
|
<Item id= " 41009" name = "Luk alle til venstre"/>
|
||||||
<Item id = "41010" name = "Udskriv…"/>
|
<Item id = "41010" name = "Udskriv…"/>
|
||||||
<Item id = "1001" name = "Udskriv nu!"/>
|
<Item id = "1001" name = "Udskriv nu!"/>
|
||||||
<Item id = "41011" name = "A&fslut"/>
|
<Item id = "41011" name = "A&fslut"/>
|
||||||
@ -81,6 +84,7 @@
|
|||||||
<Item id = "41015" name = "Gem en &kopi som…"/>
|
<Item id = "41015" name = "Gem en &kopi som…"/>
|
||||||
<Item id = "41016" name = "Flyt til Papirkurv"/>
|
<Item id = "41016" name = "Flyt til Papirkurv"/>
|
||||||
<Item id = "41017" name = "&Omdøb…"/>
|
<Item id = "41017" name = "&Omdøb…"/>
|
||||||
|
<Item id =" 41018" name = "Luk alle til højre"/>
|
||||||
|
|
||||||
<Item id = "42001" name = "&Klip"/>
|
<Item id = "42001" name = "&Klip"/>
|
||||||
<Item id = "42002" name = "K&opier"/>
|
<Item id = "42002" name = "K&opier"/>
|
||||||
@ -102,8 +106,9 @@
|
|||||||
<Item id = "42018" name = "&Start optagelse"/>
|
<Item id = "42018" name = "&Start optagelse"/>
|
||||||
<Item id = "42019" name = "Stop &optagelse"/>
|
<Item id = "42019" name = "Stop &optagelse"/>
|
||||||
<Item id = "42021" name = "&Afspil"/>
|
<Item id = "42021" name = "&Afspil"/>
|
||||||
<Item id = "42022" name = "Kommentér/Afkommentér blok"/>
|
<Item id = "42022" name = "Kommentér/Afkommentér linje"/>
|
||||||
<Item id = "42023" name = "Kommentér strøm"/>
|
<Item id = "42023" name = "Kommentér blok"/>
|
||||||
|
<Item id = "42047" name = "Afkommentér blok"/>
|
||||||
<Item id = "42024" name = "Trim efterfølgende mellemrum"/>
|
<Item id = "42024" name = "Trim efterfølgende mellemrum"/>
|
||||||
<Item id = "42025" name = "&Gem denne indpillede makro"/>
|
<Item id = "42025" name = "&Gem denne indpillede makro"/>
|
||||||
<Item id = "42026" name = "Tekstretning højre mod venstre"/>
|
<Item id = "42026" name = "Tekstretning højre mod venstre"/>
|
||||||
@ -114,8 +119,8 @@
|
|||||||
<Item id = "42031" name = "Denne mappes sti"/>
|
<Item id = "42031" name = "Denne mappes sti"/>
|
||||||
<Item id = "42032" name = "&Kør en makro flere gange…"/>
|
<Item id = "42032" name = "&Kør en makro flere gange…"/>
|
||||||
<Item id = "42033" name = "Ryd skrivebeskyttelse"/>
|
<Item id = "42033" name = "Ryd skrivebeskyttelse"/>
|
||||||
<Item id = "42035" name = "Kommentér blok"/>
|
<Item id = "42035" name = "Kommentér linje"/>
|
||||||
<Item id = "42036" name = "Afkommentér blok"/>
|
<Item id = "42036" name = "Afkommentér linje"/>
|
||||||
<Item id = "42037" name = "Kolonnetilstand…"/>
|
<Item id = "42037" name = "Kolonnetilstand…"/>
|
||||||
<Item id = "42038" name = "Indsæt &HTML-indhold"/>
|
<Item id = "42038" name = "Indsæt &HTML-indhold"/>
|
||||||
<Item id = "42039" name = "Indsæt &RTF indhold"/>
|
<Item id = "42039" name = "Indsæt &RTF indhold"/>
|
||||||
@ -124,7 +129,7 @@
|
|||||||
<Item id = "42044" name = "EOL til mellemrum"/>
|
<Item id = "42044" name = "EOL til mellemrum"/>
|
||||||
<Item id = "42045" name = "Fjern overflødige blanke og EOL"/>
|
<Item id = "42045" name = "Fjern overflødige blanke og EOL"/>
|
||||||
<Item id = "42046" name = "TAB til mellemrum"/>
|
<Item id = "42046" name = "TAB til mellemrum"/>
|
||||||
<Item id = "42047" name = "Afkommentér strøm"/>
|
|
||||||
<Item id = "42048" name = "K&opier binært indhold"/>
|
<Item id = "42048" name = "K&opier binært indhold"/>
|
||||||
<Item id = "42049" name = "&Klip binært indhold"/>
|
<Item id = "42049" name = "&Klip binært indhold"/>
|
||||||
<Item id = "42050" name = "&Indsæt binært indhold"/>
|
<Item id = "42050" name = "&Indsæt binært indhold"/>
|
||||||
@ -134,7 +139,8 @@
|
|||||||
<Item id = "42054" name = "Mellemrum til TAB (Alle)"/>
|
<Item id = "42054" name = "Mellemrum til TAB (Alle)"/>
|
||||||
<Item id = "42055" name = "Fjern tomme linjer"/>
|
<Item id = "42055" name = "Fjern tomme linjer"/>
|
||||||
<Item id = "42056" name = "Fjern tomme linjer (Indeholdende blanke tegn)"/>
|
<Item id = "42056" name = "Fjern tomme linjer (Indeholdende blanke tegn)"/>
|
||||||
|
<Item id = "42057" name = "Indsæt tom linje over denne"/>
|
||||||
|
<Item id = "42058" name = "Indsæt tom linje under denne"/>
|
||||||
<Item id = "43001" name = "S&øg…"/>
|
<Item id = "43001" name = "S&øg…"/>
|
||||||
<Item id = "43002" name = "Find n&æste"/>
|
<Item id = "43002" name = "Find n&æste"/>
|
||||||
<Item id = "43003" name = "&Erstat…"/>
|
<Item id = "43003" name = "&Erstat…"/>
|
||||||
@ -188,6 +194,7 @@
|
|||||||
<Item id = "43050" name = "In&vers bogmærke"/>
|
<Item id = "43050" name = "In&vers bogmærke"/>
|
||||||
<Item id = "43051" name = "&Fjern umarkerede linjer"/>
|
<Item id = "43051" name = "&Fjern umarkerede linjer"/>
|
||||||
<Item id = "43052" name = "Find &tegn i interval…"/>
|
<Item id = "43052" name = "Find &tegn i interval…"/>
|
||||||
|
<Item id = "43053" name = "Marker alt mellem matchende parenteser"/>
|
||||||
|
|
||||||
<Item id = "44009" name = "Post-it"/>
|
<Item id = "44009" name = "Post-it"/>
|
||||||
<Item id = "44010" name = "Sammenklap alle trin"/>
|
<Item id = "44010" name = "Sammenklap alle trin"/>
|
||||||
@ -215,6 +222,17 @@
|
|||||||
<Item id = "44082" name = "Projektpanel 2"/>
|
<Item id = "44082" name = "Projektpanel 2"/>
|
||||||
<Item id = "44083" name = "Projektpanel 3"/>
|
<Item id = "44083" name = "Projektpanel 3"/>
|
||||||
<Item id = "44084" name = "Funktionsliste"/>
|
<Item id = "44084" name = "Funktionsliste"/>
|
||||||
|
<Item id = "44086" name = "1. faneblad"/>
|
||||||
|
<Item id = "44087" name = "2. faneblad"/>
|
||||||
|
<Item id = "44088" name = "3. faneblad"/>
|
||||||
|
<Item id = "44089" name = "4. faneblad"/>
|
||||||
|
<Item id = "44090" name = "5. faneblad"/>
|
||||||
|
<Item id = "44091" name = "6. faneblad"/>
|
||||||
|
<Item id = "44092" name = "7. faneblad"/>
|
||||||
|
<Item id = "44093" name = "8. faneblad"/>
|
||||||
|
<Item id = "44094" name = "9. faneblad"/>
|
||||||
|
<Item id = "44095" name = "Næste faneblad"/>
|
||||||
|
<Item id = "44096" name = "Forrige faneblad"/>
|
||||||
|
|
||||||
<Item id = "45001" name = "Konverter til Windows-format"/>
|
<Item id = "45001" name = "Konverter til Windows-format"/>
|
||||||
<Item id = "45002" name = "Konverter til UNIX-format"/>
|
<Item id = "45002" name = "Konverter til UNIX-format"/>
|
||||||
@ -293,6 +311,8 @@
|
|||||||
<Item CMID = "14" name = "Gå til ny instans"/>
|
<Item CMID = "14" name = "Gå til ny instans"/>
|
||||||
<Item CMID = "15" name = "Åbn i en ny instans"/>
|
<Item CMID = "15" name = "Åbn i en ny instans"/>
|
||||||
<Item CMID = "16" name = "Genindlæs"/>
|
<Item CMID = "16" name = "Genindlæs"/>
|
||||||
|
<Item CMID = "17" name = "Luk alle til venstre"/>
|
||||||
|
<Item CMID = "18" name = "Luk alle til højre"/>
|
||||||
</TabBar>
|
</TabBar>
|
||||||
</Menu>
|
</Menu>
|
||||||
|
|
||||||
@ -500,6 +520,12 @@
|
|||||||
<Item id = "23224" name = "Continue character"/>
|
<Item id = "23224" name = "Continue character"/>
|
||||||
<Item id = "23225" name = "Valgfrie suffikser for tal : "/>
|
<Item id = "23225" name = "Valgfrie suffikser for tal : "/>
|
||||||
<Item id = "23227" name = "Ekstra tegn i tal med præfiks: "/>
|
<Item id = "23227" name = "Ekstra tegn i tal med præfiks: "/>
|
||||||
|
<Item id = "23230" name = "Præfiks 1:"/>
|
||||||
|
<Item id = "23232" name = "Præfiks 2:"/>
|
||||||
|
<Item id = "23234" name = "Ekstra 1:"/>
|
||||||
|
<Item id = "23236" name = "Ekstra 2:"/>
|
||||||
|
<Item id = "23238" name = "Suffiks 1:"/>
|
||||||
|
<Item id = "23240" name = "Suffiks 2:"/>
|
||||||
<Item id = "23244" name = "Decimaltegn"/>
|
<Item id = "23244" name = "Decimaltegn"/>
|
||||||
<Item id = "23245" name = "Punktum"/>
|
<Item id = "23245" name = "Punktum"/>
|
||||||
<Item id = "23246" name = "Komma"/>
|
<Item id = "23246" name = "Komma"/>
|
||||||
@ -584,6 +610,7 @@
|
|||||||
<Item id = "6123" name = "Lokalisering"/>
|
<Item id = "6123" name = "Lokalisering"/>
|
||||||
<Item id = "6125" name = "Dokumentskifter"/>
|
<Item id = "6125" name = "Dokumentskifter"/>
|
||||||
<Item id = "6126" name = "Vis"/>
|
<Item id = "6126" name = "Vis"/>
|
||||||
|
|
||||||
</Global>
|
</Global>
|
||||||
<Scintillas title = "Redigering">
|
<Scintillas title = "Redigering">
|
||||||
<Item id = "6216" name = "Markørindstillinger"/>
|
<Item id = "6216" name = "Markørindstillinger"/>
|
||||||
@ -614,8 +641,8 @@
|
|||||||
<Item id = "6212" name = "Linjetilstand"/>
|
<Item id = "6212" name = "Linjetilstand"/>
|
||||||
<Item id = "6213" name = "Baggrundstilstand"/>
|
<Item id = "6213" name = "Baggrundstilstand"/>
|
||||||
<Item id = "6214" name = "Fremhæv aktiv linje"/>
|
<Item id = "6214" name = "Fremhæv aktiv linje"/>
|
||||||
|
|
||||||
</Scintillas>
|
</Scintillas>
|
||||||
|
|
||||||
<NewDoc title = "Nyt dokument">
|
<NewDoc title = "Nyt dokument">
|
||||||
<Item id = "6419" name = "Nyt dokument"/>
|
<Item id = "6419" name = "Nyt dokument"/>
|
||||||
<Item id = "6401" name = "Format"/>
|
<Item id = "6401" name = "Format"/>
|
||||||
@ -637,10 +664,12 @@
|
|||||||
<Item id = "6414" name = "Følg det pågældende dokument"/>
|
<Item id = "6414" name = "Følg det pågældende dokument"/>
|
||||||
<Item id = "6415" name = "Husk den seneste operationsmappe"/>
|
<Item id = "6415" name = "Husk den seneste operationsmappe"/>
|
||||||
</DefaultDir>
|
</DefaultDir>
|
||||||
|
|
||||||
<FileAssoc title = "Filassociation">
|
<FileAssoc title = "Filassociation">
|
||||||
<Item id = "4009" name = "Understøttede exts:"/>
|
<Item id = "4009" name = "Understøttede exts:"/>
|
||||||
<Item id = "4010" name = "Registerede exts:"/>
|
<Item id = "4010" name = "Registerede exts:"/>
|
||||||
</FileAssoc>
|
</FileAssoc>
|
||||||
|
|
||||||
<LangMenu title = "Sprogmenu">
|
<LangMenu title = "Sprogmenu">
|
||||||
<Item id = "6505" name = "Tilgængelige emner"/>
|
<Item id = "6505" name = "Tilgængelige emner"/>
|
||||||
<Item id = "6506" name = "Deaktiverede emner"/>
|
<Item id = "6506" name = "Deaktiverede emner"/>
|
||||||
@ -710,7 +739,7 @@
|
|||||||
<Item id = "6323" name = "Aktiver Notepad++ auto-updater"/>
|
<Item id = "6323" name = "Aktiver Notepad++ auto-updater"/>
|
||||||
<Item id = "6324" name = "Dokumentskifter (Ctrl+TAB)"/><Item id = "6326" name = "Aktiver smart fremhævning"/>
|
<Item id = "6324" name = "Dokumentskifter (Ctrl+TAB)"/><Item id = "6326" name = "Aktiver smart fremhævning"/>
|
||||||
<Item id = "6329" name = "Fremhæv matchende tags"/>
|
<Item id = "6329" name = "Fremhæv matchende tags"/>
|
||||||
<Item id = "6327" name = "Fremhæv matchende tags"/>
|
<Item id = "6327" name = "Aktiver"/>
|
||||||
<Item id = "6328" name = "Fremhæv attributter"/>
|
<Item id = "6328" name = "Fremhæv attributter"/>
|
||||||
<Item id = "6330" name = "Fremhæv php/asp-zone"/>
|
<Item id = "6330" name = "Fremhæv php/asp-zone"/>
|
||||||
<Item id = "6331" name = "Vis kun filnavn i titellinjen"/>
|
<Item id = "6331" name = "Vis kun filnavn i titellinjen"/>
|
||||||
@ -720,10 +749,8 @@
|
|||||||
<Item id = "6115" name = "Autoindrykning"/>
|
<Item id = "6115" name = "Autoindrykning"/>
|
||||||
<Item id = "6116" name = "Husk det sidste operationskatalog"/>
|
<Item id = "6116" name = "Husk det sidste operationskatalog"/>
|
||||||
<Item id = "6117" name = "Aktiver MRU-adfærd for dokumentskifter"/>
|
<Item id = "6117" name = "Aktiver MRU-adfærd for dokumentskifter"/>
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
</MISC>
|
</MISC>
|
||||||
|
|
||||||
<Backup title = "Backup">
|
<Backup title = "Backup">
|
||||||
<Item id = "6801" name = "Backup"/>
|
<Item id = "6801" name = "Backup"/>
|
||||||
<Item id = "6315" name = "Ingen"/>
|
<Item id = "6315" name = "Ingen"/>
|
||||||
@ -742,8 +769,32 @@
|
|||||||
<Item id = "6813" name = "tegn"/>
|
<Item id = "6813" name = "tegn"/>
|
||||||
<Item id = "6814" name = "Gyldig værdi : 1 - 9"/>
|
<Item id = "6814" name = "Gyldig værdi : 1 - 9"/>
|
||||||
<Item id = "6815" name = "Vis funktionsparametre ved input"/>
|
<Item id = "6815" name = "Vis funktionsparametre ved input"/>
|
||||||
|
<Item id = "6851" name = "Indsæt automatisk"/>
|
||||||
|
<Item id = "6857" name = "html/xml luk-tag"/>
|
||||||
|
<Item id = "6858" name = "Åbn"/>
|
||||||
|
<Item id = "6859" name = "Luk"/>
|
||||||
|
<Item id = "6860" name = "Matchende par 1:"/>
|
||||||
|
<Item id = "6863" name = "Matchende par 2:"/>
|
||||||
|
<Item id = "6866" name = "Matchende par 3:"/>
|
||||||
</AutoCompletion>
|
</AutoCompletion>
|
||||||
|
|
||||||
|
<Multi-instance title = "Multi-instans">
|
||||||
|
<Item id = "6151" name = "Multi-instans-indstillinger"/>
|
||||||
|
<Item id = "6152" name = "Åbn session i en ny instans af Notepad++"/>
|
||||||
|
<Item id = "6153" name = "Altid i multi-instans-tilstand"/>
|
||||||
|
<Item id = "6154" name = "Standard (enkelt-instans)"/>
|
||||||
|
<Item id = "6155" name = "* Ændring af denne indstilling kræver genstart af Notepad++"/>
|
||||||
|
</Multi-instance>
|
||||||
|
|
||||||
|
<Delimiter title = "Skilletegn">
|
||||||
|
<Item id = "6251" name = "Delimiter selection settings (Ctrl + dobbeltklik)"/>
|
||||||
|
<Item id = "6252" name = "Åbn"/>
|
||||||
|
<Item id = "6255" name = "Luk"/>
|
||||||
|
<Item id = "6256" name = "Tillad på flere linjer"/>
|
||||||
|
<Item id = "6257" name = "bla bla bla bla bla bla"/>
|
||||||
|
<Item id = "6258" name = "bla bla bla bla bla bla bla bla bla bla bla bla"/>
|
||||||
|
</Delimiter>
|
||||||
|
|
||||||
</Preference>
|
</Preference>
|
||||||
<MultiMacro title = "Kør makro flere gange">
|
<MultiMacro title = "Kør makro flere gange">
|
||||||
<Item id = "1" name = "Kør"/>
|
<Item id = "1" name = "Kør"/>
|
||||||
|
File diff suppressed because it is too large
Load Diff
@ -1,6 +1,6 @@
|
|||||||
<?xml version="1.0" encoding="Windows-1257" ?>
|
<?xml version="1.0" encoding="Windows-1257" ?>
|
||||||
<!-- Translated by Arvis Lâcis (http://twitter.com/arvislacis) v10.7.8 -->
|
<!-- Translated by Arvis Lâcis (http://twitter.com/arvislacis) v10.7.8 -->
|
||||||
<!-- Aditional translations by Kârlis Kalviðkis (eko@lanet.lv) 2013.02.06. -->
|
<!-- Aditional translations by Kârlis Kalviđkis (eko@lanet.lv) 2013.09.09. -->
|
||||||
<NotepadPlus>
|
<NotepadPlus>
|
||||||
<Native-Langue name="Latvieđu" filename="latvian.xml" >
|
<Native-Langue name="Latvieđu" filename="latvian.xml" >
|
||||||
<Menu>
|
<Menu>
|
||||||
@ -25,10 +25,10 @@
|
|||||||
<Item subMenuId="edit-copyToClipboard" name="Kopçt starpliktuvç"/>
|
<Item subMenuId="edit-copyToClipboard" name="Kopçt starpliktuvç"/>
|
||||||
<Item subMenuId="edit-indent" name="Atkâpe"/>
|
<Item subMenuId="edit-indent" name="Atkâpe"/>
|
||||||
<Item subMenuId="edit-convertCaseTo" name="Burtu veida maiňa"/>
|
<Item subMenuId="edit-convertCaseTo" name="Burtu veida maiňa"/>
|
||||||
<Item subMenuId="edit-lineOperations" name="Darbîbas ar lînijâm"/>
|
<Item subMenuId="edit-lineOperations" name="Darbîbas ar rindâm"/>
|
||||||
<Item subMenuId="edit-comment" name="Komentçt/nekomentçt"/>
|
<Item subMenuId="edit-comment" name="Komentçt/nekomentçt"/>
|
||||||
<Item subMenuId="edit-autoCompletion" name="Automâtiskâ pabeigđana"/>
|
<Item subMenuId="edit-autoCompletion" name="Automâtiskâ pabeigđana"/>
|
||||||
<Item subMenuId="edit-eolConversion" name="Lîniju beigu (EOL) pârvçrðana"/>
|
<Item subMenuId="edit-eolConversion" name="Rindu beigu (EOL) pârvçrđana"/>
|
||||||
<Item subMenuId="edit-blankOperations" name="Darbîbas ar atstarpçm"/>
|
<Item subMenuId="edit-blankOperations" name="Darbîbas ar atstarpçm"/>
|
||||||
<Item subMenuId="edit-pasteSpecial" name="Ielîmçt norâdot"/>
|
<Item subMenuId="edit-pasteSpecial" name="Ielîmçt norâdot"/>
|
||||||
<Item subMenuId="search-markAll" name="Izcelt visus"/>
|
<Item subMenuId="search-markAll" name="Izcelt visus"/>
|
||||||
@ -89,29 +89,39 @@
|
|||||||
<Item id="42005" name="&Ielîmçt"/>
|
<Item id="42005" name="&Ielîmçt"/>
|
||||||
<Item id="42006" name="Iz&dzçst"/>
|
<Item id="42006" name="Iz&dzçst"/>
|
||||||
<Item id="42007" name="Iezîmçt &visu"/>
|
<Item id="42007" name="Iezîmçt &visu"/>
|
||||||
|
<Item id="42020" name="Sâkt&/beigt iezîmçt"/>
|
||||||
<Item id="42008" name="Palielinât atkâpi"/>
|
<Item id="42008" name="Palielinât atkâpi"/>
|
||||||
<Item id="42009" name="Samazinât atkâpi"/>
|
<Item id="42009" name="Samazinât atkâpi"/>
|
||||||
<Item id="42010" name="Dublicçt paðreizçjo lîniju"/>
|
<Item id="42010" name="Pavairot esođo rindu"/>
|
||||||
<Item id="42012" name="Sadalît lînijas"/>
|
<Item id="42012" name="Sadalît rindas"/>
|
||||||
<Item id="42013" name="Apvienot lînijas"/>
|
<Item id="42013" name="Apvienot rindas"/>
|
||||||
<Item id="42014" name="Pârvietot augðup paðreizçjo lîniju"/>
|
<Item id="42014" name="Pârvietot rindu augđup"/>
|
||||||
<Item id="42015" name="Pârvietot lejup paðreizçjo lîniju"/>
|
<Item id="42015" name="Pârvietot rindu lejup"/>
|
||||||
<Item id="42016" name="LIELIE BURTI"/>
|
<Item id="42016" name="LIELIE BURTI"/>
|
||||||
<Item id="42017" name="mazie burti"/>
|
<Item id="42017" name="mazie burti"/>
|
||||||
<Item id="42018" name="&Sâkt ierakstîđanu"/>
|
<Item id="42018" name="&Sâkt ierakstîđanu"/>
|
||||||
<Item id="42019" name="&Beigt ierakstîđanu"/>
|
<Item id="42019" name="&Beigt ierakstîđanu"/>
|
||||||
<Item id="42021" name="&Atskaňot"/>
|
<Item id="42021" name="&Atskaňot"/>
|
||||||
<Item id="42022" name="Ievietot bloka komentâru"/>
|
<Item id="42022" name="Ieslçgt/izlçgt rindu kâ paskaidrojumu"/>
|
||||||
<Item id="42023" name="Izcelt komentâru"/>
|
<Item id="42023" name="Izveidot paskaidrojumu bloku"/>
|
||||||
|
<Item id="42047" name="Noňemt paskaidrojuma bloka zîmes"/>
|
||||||
|
<Item id="42024" name="Nodzçst beigu tukđumus"/>
|
||||||
<Item id="42042" name="Nodzçst sâkuma tukđumus"/>
|
<Item id="42042" name="Nodzçst sâkuma tukđumus"/>
|
||||||
<Item id="42043" name="Nodzçst sâkuma un beigu tukđumus"/>
|
<Item id="42043" name="Nodzçst sâkuma un beigu tukđumus"/>
|
||||||
<Item id="42044" name="Apvieno rindas ievietojot atstarpes"/>
|
<Item id="42044" name="Apvieno rindas ievietojot atstarpes"/>
|
||||||
<Item id="42045" name="Izmet liekos tukđumus un rindas"/>
|
<Item id="42045" name="Izmet liekos tukđumus un rindas"/>
|
||||||
<Item id="42046" name="Tabulâciju uz atstarpi"/>
|
<Item id="42046" name="Tabulâciju uz atstarpi"/>
|
||||||
<Item id="42047" name="Atstarpi uz tabulâciju"/>
|
<Item id="42054" name="Visas atstarpes uz tabulâciju"/>
|
||||||
|
<Item id="42053" name="Sâkuma atstarpes uz tabulâciju"/>
|
||||||
|
<Item id="42038" name="Ievietot HTML saturu"/>
|
||||||
|
<Item id="42039" name="Ievietot RTF saturu"/>
|
||||||
|
<Item id="42048" name="Kopçt binâro saturu"/>
|
||||||
|
<Item id="42049" name="Izgriezt binâro saturu"/>
|
||||||
|
<Item id="42050" name="Ievietot binâro saturu"/>
|
||||||
|
<Item id="42037" name="Sleju iezîmçđana ..."/>
|
||||||
|
<Item id="42034" name="Sleju redaktors..."/>
|
||||||
<Item id="42051" name="&Rakstzîmes un simboli"/>
|
<Item id="42051" name="&Rakstzîmes un simboli"/>
|
||||||
<Item id="42052" name="&Starpliktuve"/>
|
<Item id="42052" name="&Starpliktuve"/>
|
||||||
<Item id="42024" name="Noòemt beigu tukðumus"/>
|
|
||||||
<Item id="42025" name="Saglabât pađlaik ierakstîto makro komandu"/>
|
<Item id="42025" name="Saglabât pađlaik ierakstîto makro komandu"/>
|
||||||
<Item id="42026" name="Teksta virziens RTL (no labâs uz kreiso)"/>
|
<Item id="42026" name="Teksta virziens RTL (no labâs uz kreiso)"/>
|
||||||
<Item id="42027" name="Teksta virziens LTR (no kreisâs uz labo)"/>
|
<Item id="42027" name="Teksta virziens LTR (no kreisâs uz labo)"/>
|
||||||
@ -121,9 +131,13 @@
|
|||||||
<Item id="42031" name="Pađreizçjâs mapes atrađanâs vietu"/>
|
<Item id="42031" name="Pađreizçjâs mapes atrađanâs vietu"/>
|
||||||
<Item id="42032" name="Palaist makro komandu vairâkas reizes..."/>
|
<Item id="42032" name="Palaist makro komandu vairâkas reizes..."/>
|
||||||
<Item id="42033" name="Noňemt 'tikai-lasâms' iezîmi"/>
|
<Item id="42033" name="Noňemt 'tikai-lasâms' iezîmi"/>
|
||||||
<Item id="42035" name="Bloka komentârs"/>
|
<Item id="42035" name="Pievienot paskaidrojuma zîmi"/>
|
||||||
<Item id="42036" name="Noòemt bloka komentâru"/>
|
<Item id="42036" name="Noňemt paskaidrojuma zîmi"/>
|
||||||
<Item id="42037" name="Sleju iezîmçðana ..."/>
|
<Item id="42055" name="Izmest tukđâs rindas"/>
|
||||||
|
<Item id="42056" name="Izmest tukđâs rindas (kurâs ir tukđuma simboli)"/>
|
||||||
|
<Item id="42057" name="Ievietot tukđu rindu pirms dotâs rindas"/>
|
||||||
|
<Item id="42058" name="Ievietot tukđu rindu aiz dotâs rindas"/>
|
||||||
|
|
||||||
<Item id="43001" name="&Atrast..."/>
|
<Item id="43001" name="&Atrast..."/>
|
||||||
<Item id="43002" name="Atrast &nâkođo"/>
|
<Item id="43002" name="Atrast &nâkođo"/>
|
||||||
<Item id="43003" name="Aizstât..."/>
|
<Item id="43003" name="Aizstât..."/>
|
||||||
@ -132,6 +146,13 @@
|
|||||||
<Item id="43006" name="Nâkođâ grâmatzîme"/>
|
<Item id="43006" name="Nâkođâ grâmatzîme"/>
|
||||||
<Item id="43007" name="Iepriekđçjâ grâmatzîme"/>
|
<Item id="43007" name="Iepriekđçjâ grâmatzîme"/>
|
||||||
<Item id="43008" name="&Notîrît visas grâmatzîmes"/>
|
<Item id="43008" name="&Notîrît visas grâmatzîmes"/>
|
||||||
|
<Item id="43018" name="&Izgriezt iegrâmatotâs rindas"/>
|
||||||
|
<Item id="43019" name="&Kopçt iegrâmatotâs rindas"/>
|
||||||
|
<Item id="43020" name="Ielîmçt (&aizstâjot) iegrâmatotâs rindas"/>
|
||||||
|
<Item id="43021" name="Izdzçst iegrâmatotâs &rindas"/>
|
||||||
|
<Item id="43051" name="Izmest neatzîmçtâs &rindas"/>
|
||||||
|
<Item id="43050" name="Grâmatzîmes ielikt &otrâdi"/>
|
||||||
|
<Item id="43052" name="Meklçt pçc &koda ..."/>
|
||||||
<Item id="43009" name="Iet uz otro, noslçdzođo figűriekavu"/>
|
<Item id="43009" name="Iet uz otro, noslçdzođo figűriekavu"/>
|
||||||
<Item id="43010" name="Atrast iepriekđçjo"/>
|
<Item id="43010" name="Atrast iepriekđçjo"/>
|
||||||
<Item id="43011" name="Ât&râ meklçđana"/>
|
<Item id="43011" name="Ât&râ meklçđana"/>
|
||||||
@ -140,10 +161,6 @@
|
|||||||
<Item id="43015" name="Atrast iepriekđçjo (nepatstâvîgâ meklçđana)"/>
|
<Item id="43015" name="Atrast iepriekđçjo (nepatstâvîgâ meklçđana)"/>
|
||||||
<Item id="43016" name="Atzîmçt visus"/>
|
<Item id="43016" name="Atzîmçt visus"/>
|
||||||
<Item id="43017" name="Noňemt visas atzîmes"/>
|
<Item id="43017" name="Noňemt visas atzîmes"/>
|
||||||
<Item id="43018" name="&Izgriezt iegrâmatotâs lînijas"/>
|
|
||||||
<Item id="43019" name="&Kopçt iegrâmatotâs lînijas"/>
|
|
||||||
<Item id="43020" name="Ielîmçt (&aizstât) iegrâmatotâs lînijas"/>
|
|
||||||
<Item id="43021" name="Izdzçst iegrâmatotâs &lînijas"/>
|
|
||||||
<Item id="43022" name="Piemçrot 1. stilu"/>
|
<Item id="43022" name="Piemçrot 1. stilu"/>
|
||||||
<Item id="43023" name="Notîrît 1. stilu"/>
|
<Item id="43023" name="Notîrît 1. stilu"/>
|
||||||
<Item id="43024" name="Piemçrot 2. stilu"/>
|
<Item id="43024" name="Piemçrot 2. stilu"/>
|
||||||
@ -172,31 +189,28 @@
|
|||||||
<Item id="43047" name="Iepriekđçjais meklçđanas rezultâts"/>
|
<Item id="43047" name="Iepriekđçjais meklçđanas rezultâts"/>
|
||||||
<Item id="43048" name="Atrast un izvçlçties nâkođo"/>
|
<Item id="43048" name="Atrast un izvçlçties nâkođo"/>
|
||||||
<Item id="43049" name="Atrast un izvçlçties iepriekđçjo"/>
|
<Item id="43049" name="Atrast un izvçlçties iepriekđçjo"/>
|
||||||
<Item id="43050" name="Grâmatzîmes ielikt &otrâdi"/>
|
<Item id="44009" name="Noňemt rîkjoslu, izvçlnes un apmales"/>
|
||||||
<Item id="43051" name="Izmest neatzîmçtâs &rindas"/>
|
|
||||||
<Item id="43052" name="Meklçt pçc &koda ..."/>
|
|
||||||
<Item id="44009" name="Noòemt rîkjoslu un izvçlnes"/>
|
|
||||||
<Item id="44010" name="Sakďaut visus"/>
|
<Item id="44010" name="Sakďaut visus"/>
|
||||||
<Item id="44011" name="Lietotâja dialogs..."/>
|
|
||||||
<Item id="44019" name="Râdît visas rakstzîmes"/>
|
<Item id="44019" name="Râdît visas rakstzîmes"/>
|
||||||
<Item id="44020" name="Râdît atkâpju ceďvedi"/>
|
<Item id="44020" name="Râdît atkâpju ceďvedi"/>
|
||||||
<Item id="44022" name="Vârdu aplauđana"/>
|
<Item id="44022" name="Vârdu aplauđana"/>
|
||||||
<Item id="44023" name="Tuv&inât Ctrl+peles rullîtis uz augđu"/>
|
<Item id="44023" name="Tuv&inât Ctrl+peles rullîtis uz augđu"/>
|
||||||
<Item id="44024" name="Tâli&nât Ctrl+peles rullîtis uz leju"/>
|
<Item id="44024" name="Tâli&nât Ctrl+peles rullîtis uz leju"/>
|
||||||
<Item id="44025" name="Râdît atstarpes un tabulâcijas (TAB)"/>
|
<Item id="44025" name="Râdît atstarpes un tabulâcijas (TAB)"/>
|
||||||
<Item id="44026" name="Râdît lîniju beigas (EOL)"/>
|
<Item id="44026" name="Râdît rindu beigas (EOL)"/>
|
||||||
<Item id="44029" name="Izvçrst visus"/>
|
<Item id="44029" name="Izvçrst visus"/>
|
||||||
<Item id="44030" name="Sakďaut pađreizçjo lîmeni"/>
|
<Item id="44030" name="Sakďaut pađreizçjo lîmeni"/>
|
||||||
<Item id="44031" name="Izvçrst pađreizçjo lîmeni"/>
|
<Item id="44031" name="Izvçrst pađreizçjo lîmeni"/>
|
||||||
|
<Item id="44049" name="Kopsavilkums..."/>
|
||||||
|
<Item id="44080" name="Samazinâts kopskats"/>
|
||||||
|
<Item id="44084" name="Funkciju saraksts"/>
|
||||||
<Item id="44032" name="Pârslçgties uz pilnekrâna reţîmu"/>
|
<Item id="44032" name="Pârslçgties uz pilnekrâna reţîmu"/>
|
||||||
<Item id="44033" name="Atjaunot noklusçto attâlumu"/>
|
<Item id="44033" name="Atjaunot noklusçto attâlumu"/>
|
||||||
<Item id="44034" name="Vienmçr virspusç"/>
|
<Item id="44034" name="Vienmçr virspusç"/>
|
||||||
<Item id="44049" name="Kopsavilkums..."/>
|
|
||||||
<Item id="44035" name="Sinhronizçt vertikâlo ritinâđanu"/>
|
<Item id="44035" name="Sinhronizçt vertikâlo ritinâđanu"/>
|
||||||
<Item id="44036" name="Sinhronizçt horizontâlo ritinâđanu"/>
|
<Item id="44036" name="Sinhronizçt horizontâlo ritinâđanu"/>
|
||||||
<Item id="44041" name="Râdît aplauđanas simbolu"/>
|
<Item id="44041" name="Râdît aplauđanas simbolu"/>
|
||||||
<Item id="44072" name="Fokusçt citâ skatâ"/>
|
<Item id="44072" name="Pâriet uz citu skatu"/>
|
||||||
<Item id="44080" name="Samazinâts kopskats"/>
|
|
||||||
<Item id="44081" name="1. projekta panelis"/>
|
<Item id="44081" name="1. projekta panelis"/>
|
||||||
<Item id="44082" name="2. projekta panelis"/>
|
<Item id="44082" name="2. projekta panelis"/>
|
||||||
<Item id="44083" name="3. projekta panelis"/>
|
<Item id="44083" name="3. projekta panelis"/>
|
||||||
@ -207,7 +221,7 @@
|
|||||||
<Item id="45005" name="Kodçt UTF-8 kodçjumâ"/>
|
<Item id="45005" name="Kodçt UTF-8 kodçjumâ"/>
|
||||||
<Item id="45006" name="Kodçt UCS-2 Big Endian kodçjumâ"/>
|
<Item id="45006" name="Kodçt UCS-2 Big Endian kodçjumâ"/>
|
||||||
<Item id="45007" name="Kodçt UCS-2 Little Endian kodçjumâ"/>
|
<Item id="45007" name="Kodçt UCS-2 Little Endian kodçjumâ"/>
|
||||||
<Item id="45008" name="Kodçt UTF-8 kodçjumâ bez BOM (baitu kârtîbas maríiera)"/>
|
<Item id="45008" name="Kodçt UTF-8 kodçjumâ bez BOM (baitu secîbas maríiera)"/>
|
||||||
<Item id="45009" name="Pârveidot ANSI kodçjumâ"/>
|
<Item id="45009" name="Pârveidot ANSI kodçjumâ"/>
|
||||||
<Item id="45010" name="Pârveidot UTF-8 kodçjumâ bez BOM"/>
|
<Item id="45010" name="Pârveidot UTF-8 kodçjumâ bez BOM"/>
|
||||||
<Item id="45011" name="Pârveidot UTF-8 kodçjumâ"/>
|
<Item id="45011" name="Pârveidot UTF-8 kodçjumâ"/>
|
||||||
@ -220,8 +234,8 @@
|
|||||||
<Item id="10004" name="Atvçrt jaunâ instancç (programmâ)"/>
|
<Item id="10004" name="Atvçrt jaunâ instancç (programmâ)"/>
|
||||||
|
|
||||||
<Item id="46001" name="Stilu konfigurâtors..."/>
|
<Item id="46001" name="Stilu konfigurâtors..."/>
|
||||||
<Item id="46080" name="Lietotâja definçta"/>
|
|
||||||
<Item id="46150" name="Izveidot savu valodas aprakstu ..."/>
|
<Item id="46150" name="Izveidot savu valodas aprakstu ..."/>
|
||||||
|
<Item id="46080" name="Lietotâja definçta"/>
|
||||||
<Item id="47000" name="Par Notepad++..."/>
|
<Item id="47000" name="Par Notepad++..."/>
|
||||||
<Item id="47001" name="Notepad++ mâjaslapa"/>
|
<Item id="47001" name="Notepad++ mâjaslapa"/>
|
||||||
<Item id="47002" name="Notepad++ projekta lapa"/>
|
<Item id="47002" name="Notepad++ projekta lapa"/>
|
||||||
@ -230,22 +244,22 @@
|
|||||||
<Item id="47005" name="Iegűt citus spraudňus"/>
|
<Item id="47005" name="Iegűt citus spraudňus"/>
|
||||||
<Item id="47006" name="Atjauninât Notepad++"/>
|
<Item id="47006" name="Atjauninât Notepad++"/>
|
||||||
<Item id="47008" name="Lietotâja pamâcîba"/>
|
<Item id="47008" name="Lietotâja pamâcîba"/>
|
||||||
|
<Item id="47009" name="Proxy serveris atjauninâjumiem ..."/>
|
||||||
<Item id="48005" name="Importçt spradni(ňus) ..."/>
|
<Item id="48005" name="Importçt spradni(ňus) ..."/>
|
||||||
<Item id="48006" name="Importçt motîvu(us) ..."/>
|
<Item id="48006" name="Importçt motîvu(us) ..."/>
|
||||||
|
<Item id="48018" name="Labot peles izvçlni"/>
|
||||||
<Item id="48009" name="Îsceďu pârvaldnieks..."/>
|
<Item id="48009" name="Îsceďu pârvaldnieks..."/>
|
||||||
<Item id="48011" name="Iestatîjumi..."/>
|
<Item id="48011" name="Iestatîjumi..."/>
|
||||||
<Item id="49000" name="&Palaist..."/>
|
<Item id="49000" name="&Palaist..."/>
|
||||||
|
|
||||||
<Item id="50000" name="Funkcijas pabeigđana"/>
|
<Item id="50000" name="Funkcijas pabeigđana"/>
|
||||||
<Item id="50001" name="Vârdu pabeigđana"/>
|
<Item id="50001" name="Vârdu pabeigđana"/>
|
||||||
<Item id="50002" name="Funkcijas parametru norâde"/>
|
<Item id="50002" name="Funkcijas parametru priekđâteicçjs"/>
|
||||||
<Item id="42034" name="Sleju redaktors..."/>
|
<Item id="44042" name="Paslçpt rindas"/>
|
||||||
<Item id="44042" name="Paslçpt lînijas"/>
|
|
||||||
<Item id="42040" name="Atvçrt visus nesen izmantotos failus"/>
|
<Item id="42040" name="Atvçrt visus nesen izmantotos failus"/>
|
||||||
<Item id="42041" name="Notîrît nesen izmantoto failu sarakstu"/>
|
<Item id="42041" name="Notîrît nesen izmantoto failu sarakstu"/>
|
||||||
<Item id="48016" name="Labot îsceďu/Dzçst makrokomandu..."/>
|
<Item id="48016" name="Labot îsceďu/Dzçst makrokomandu..."/>
|
||||||
<Item id="48017" name="Labot îsceďu/Dzçst komandu..."/>
|
<Item id="48017" name="Labot îsceďu/Dzçst komandu..."/>
|
||||||
<Item id="48018" name="Labot peles izvçlni"/>
|
|
||||||
</Commands>
|
</Commands>
|
||||||
</Main>
|
</Main>
|
||||||
<Splitter>
|
<Splitter>
|
||||||
@ -268,6 +282,8 @@
|
|||||||
<Item CMID="14" name="Pârvietot jaunâ instancç (programmâ)"/>
|
<Item CMID="14" name="Pârvietot jaunâ instancç (programmâ)"/>
|
||||||
<Item CMID="15" name="Atvçrt jaunâ instancç (programmâ)"/>
|
<Item CMID="15" name="Atvçrt jaunâ instancç (programmâ)"/>
|
||||||
<Item CMID="16" name="Ielasît vçlreiz"/>
|
<Item CMID="16" name="Ielasît vçlreiz"/>
|
||||||
|
<Item CMID="17" name="Aizvert visus kreisajâ pusç"/>
|
||||||
|
<Item CMID="18" name="Aizvçrt visus labajâ pusç"/>
|
||||||
</TabBar>
|
</TabBar>
|
||||||
</Menu>
|
</Menu>
|
||||||
|
|
||||||
@ -284,7 +300,7 @@
|
|||||||
<Item id="1613" name="&Lejup"/>
|
<Item id="1613" name="&Lejup"/>
|
||||||
<Item id="1614" name="Skaits"/>
|
<Item id="1614" name="Skaits"/>
|
||||||
<Item id="1615" name="Atrast visus"/>
|
<Item id="1615" name="Atrast visus"/>
|
||||||
<Item id="1616" name="Atzîmçt lîniju"/>
|
<Item id="1616" name="Atzîmçt rindu"/>
|
||||||
<Item id="1617" name="Stilu atrađanas maríieris"/>
|
<Item id="1617" name="Stilu atrađanas maríieris"/>
|
||||||
<Item id="1618" name="Mainît stilu katrai meklçđanai"/>
|
<Item id="1618" name="Mainît stilu katrai meklçđanai"/>
|
||||||
<Item id="1621" name="Virziens"/>
|
<Item id="1621" name="Virziens"/>
|
||||||
@ -311,9 +327,10 @@
|
|||||||
<Item id="1661" name="Sekot pađreizçjam dok."/>
|
<Item id="1661" name="Sekot pađreizçjam dok."/>
|
||||||
<Item id="1641" name="Atrast visu pađreizçjâ dokumentâ"/>
|
<Item id="1641" name="Atrast visu pađreizçjâ dokumentâ"/>
|
||||||
<Item id="1686" name="Caurspîdîgums"/>
|
<Item id="1686" name="Caurspîdîgums"/>
|
||||||
|
<Item id="1703" name="&. arî jaunu rindu"/>
|
||||||
</Find>
|
</Find>
|
||||||
<GoToLine title="Iet uz...">
|
<GoToLine title="Iet uz...">
|
||||||
<Item id="2007" name="Lîniju"/>
|
<Item id="2007" name="Rindu"/>
|
||||||
<Item id="2008" name="Nobîde"/>
|
<Item id="2008" name="Nobîde"/>
|
||||||
<Item id="1" name="&Iet"/>
|
<Item id="1" name="&Iet"/>
|
||||||
<Item id="2" name="Nekur neiet (atcelt)"/>
|
<Item id="2" name="Nekur neiet (atcelt)"/>
|
||||||
@ -343,25 +360,26 @@
|
|||||||
<Item id="2208" name="Fonts:"/>
|
<Item id="2208" name="Fonts:"/>
|
||||||
<Item id="2209" name="Lielums:"/>
|
<Item id="2209" name="Lielums:"/>
|
||||||
<Item id="2212" name="Krâsas"/>
|
<Item id="2212" name="Krâsas"/>
|
||||||
<Item id="2213" name="Fonta stils"/>
|
<Item id="2213" name="Simbolu izskats"/>
|
||||||
<Item id="2214" name="Noklusçtais papl.:"/>
|
<Item id="2214" name="Noklusçtais papl.:"/>
|
||||||
<Item id="2216" name="Lietotâja papl.:"/>
|
<Item id="2216" name="Lietotâja papl.:"/>
|
||||||
<Item id="2218" name="Pasvîtrojums"/>
|
<Item id="2218" name="Pasvîtrojums"/>
|
||||||
<Item id="2219" name="Noklusçtie atslçgvârdi"/>
|
<Item id="2219" name="Noklusçtie atslçgvârdi"/>
|
||||||
<Item id="2221" name="Lietotâja definçtie atslçgvârdi"/>
|
<Item id="2221" name="Lietotâja definçtie atslçgvârdi"/>
|
||||||
<Item id="2225" name="Valoda:"/>
|
<Item id="2225" name="Valoda:"/>
|
||||||
<Item id="2226" name="Ieslçgt globâlo priekðplâna krâsu"/>
|
<Item id="2226" name="Piemçrot visiem priekđplâna krâsu"/>
|
||||||
<Item id="2227" name="Ieslçgt globâlo fona krâsu"/>
|
<Item id="2227" name="Piemçrot visiem pamatnes krâsu"/>
|
||||||
<Item id="2228" name="Ieslçgt globâlo fontu"/>
|
<Item id="2228" name="Piemçrot visiem fontu"/>
|
||||||
<Item id="2229" name="Ieslçgt globâlo fonta lielumu"/>
|
<Item id="2229" name="Piemçrot visiem fonta lielumu"/>
|
||||||
<Item id="2230" name="Ieslçgt globâlo treknraksta fonta stilu"/>
|
<Item id="2230" name="Piemçrot visiem treknrakstu"/>
|
||||||
<Item id="2231" name="Ieslçgt globâlo kursîva fonta stilu"/>
|
<Item id="2231" name="Piemçrot visiem kursîvu"/>
|
||||||
<Item id="2232" name="Ieslçgt globâlo pasvîtrojuma fonta stilu"/>
|
<Item id="2232" name="Piemçrot visiem pasvîtrojumu"/>
|
||||||
</SubDialog>
|
</SubDialog>
|
||||||
|
|
||||||
</StyleConfig>
|
</StyleConfig>
|
||||||
|
|
||||||
<UserDefine title="Lietotâja dialogs">
|
<UserDefine title="Lietotâja dialogs">
|
||||||
|
<Item id="20001" name="Pamatlogâ"/>
|
||||||
<Item id="20002" name="Pârdçvçt"/>
|
<Item id="20002" name="Pârdçvçt"/>
|
||||||
<Item id="20003" name="Izveidot jaunu..."/>
|
<Item id="20003" name="Izveidot jaunu..."/>
|
||||||
<Item id="20004" name="Noňemt"/>
|
<Item id="20004" name="Noňemt"/>
|
||||||
@ -373,8 +391,6 @@
|
|||||||
<Item id="20015" name="Importçt..."/>
|
<Item id="20015" name="Importçt..."/>
|
||||||
<Item id="20016" name="Eksportçt..."/>
|
<Item id="20016" name="Eksportçt..."/>
|
||||||
<StylerDialog title="Stilu izveide">
|
<StylerDialog title="Stilu izveide">
|
||||||
<Item id="1" name="Labi"/>
|
|
||||||
<Item id="2" name="Atcelt"/>
|
|
||||||
<Item id="25030" name="Fonta izskats:"/>
|
<Item id="25030" name="Fonta izskats:"/>
|
||||||
<Item id="25006" name="Priekđplâna krâsa"/>
|
<Item id="25006" name="Priekđplâna krâsa"/>
|
||||||
<Item id="25007" name="Pamatnes krâsa"/>
|
<Item id="25007" name="Pamatnes krâsa"/>
|
||||||
@ -406,49 +422,128 @@
|
|||||||
<Item id="25027" name="2. operators"/>
|
<Item id="25027" name="2. operators"/>
|
||||||
<Item id="25028" name="Skaitďi"/>
|
<Item id="25028" name="Skaitďi"/>
|
||||||
</StylerDialog>
|
</StylerDialog>
|
||||||
<Item id="0" name="Krâsas"/>
|
|
||||||
<Item id="1" name="Priekðplâna krâsa"/>
|
|
||||||
<Item id="2" name="Fona krâsa"/>
|
|
||||||
<Item id="3" name="Fonta stils"/>
|
|
||||||
<Item id="4" name="Fonts:"/>
|
|
||||||
<Item id="5" name="Lielums:"/>
|
|
||||||
<Item id="6" name="Treknraksts"/>
|
|
||||||
<Item id="7" name="Slîpraksts"/>
|
|
||||||
<Item id="8" name="Pasvîtrojums"/>
|
|
||||||
<Folder title="Mape un noklusçjums">
|
<Folder title="Mape un noklusçjums">
|
||||||
<Item id="21101" name="Noklusçtâ stila iestatîjumi"/>
|
<Item id="21101" name="Noklusçtais stils"/>
|
||||||
<Item id="21201" name="Mapes atvçrðanas atslçgvârdu iestatîjumi"/>
|
<Item id="21102" name="Izskats"/>
|
||||||
<Item id="21301" name="Mapes aizvçrðanas atslçgvârdu iestatîjumi"/>
|
<Item id="21105" name="Apraksti:"/>
|
||||||
|
<Item id="21104" name="Pagaidu aprakstu vietne:"/>
|
||||||
|
<Item id="21106" name="Cieđi salocît (arî tukđâs rindas)"/>
|
||||||
|
<Item id="21220" name="Koda 1. stila sakďauđanai:"/>
|
||||||
|
<Item id="21224" name="Atverođâ:"/>
|
||||||
|
<Item id="21225" name="Vidus:"/>
|
||||||
|
<Item id="21226" name="Aizvçrođâ:"/>
|
||||||
|
<Item id="21227" name="Izskats"/>
|
||||||
|
<Item id="21320" name="Koda 2. stila sakďauđanai (nepiecieđams atdalîtâjs):"/>
|
||||||
|
<Item id="21324" name="Atvçrođâ:"/>
|
||||||
|
<Item id="21325" name="Vidus:"/>
|
||||||
|
<Item id="21326" name="Aizverođâ:"/>
|
||||||
|
<Item id="21327" name="Izskats"/>
|
||||||
|
<Item id="21420" name="Paskaidrojuma stila sakďauđanai:"/>
|
||||||
|
<Item id="21424" name="Atverođâ:"/>
|
||||||
|
<Item id="21425" name="Vidus:"/>
|
||||||
|
<Item id="21426" name="Aizverođâ:"/>
|
||||||
|
<Item id="21127" name="Izskats"/>
|
||||||
</Folder>
|
</Folder>
|
||||||
<Keywords title="Atslçgvârdu saraksti">
|
<Keywords title="Atslçgvârdu saraksti">
|
||||||
<Item id="22101" name="1. grupa"/>
|
<Item id="22101" name="1. grupa"/>
|
||||||
<Item id="22201" name="2. grupa"/>
|
<Item id="22201" name="2. grupa"/>
|
||||||
<Item id="22301" name="3. grupa"/>
|
<Item id="22301" name="3. grupa"/>
|
||||||
<Item id="22401" name="4. grupa"/>
|
<Item id="22401" name="4. grupa"/>
|
||||||
<Item id="22113" name="Prefiksa reþîms"/>
|
<Item id="22451" name="5. grupa"/>
|
||||||
<Item id="22213" name="Prefiksa reþîms"/>
|
<Item id="22501" name="6. grupa"/>
|
||||||
<Item id="22313" name="Prefiksa reþîms"/>
|
<Item id="22551" name="7. grupa"/>
|
||||||
<Item id="22413" name="Prefiksa reþîms"/>
|
<Item id="22601" name="8. grupa"/>
|
||||||
|
<Item id="22121" name="Arî nâkamais"/>
|
||||||
|
<Item id="22221" name="Arî nâkamais"/>
|
||||||
|
<Item id="22321" name="Arî nâkamais"/>
|
||||||
|
<Item id="22421" name="Arî nâkamais"/>
|
||||||
|
<Item id="22471" name="Arî nâkamais"/>
|
||||||
|
<Item id="22521" name="Arî nâkamais"/>
|
||||||
|
<Item id="22571" name="Arî nâkamais"/>
|
||||||
|
<Item id="22621" name="Arî nâkamais"/>
|
||||||
|
<Item id="22122" name="Izskats"/>
|
||||||
|
<Item id="22222" name="Izskats"/>
|
||||||
|
<Item id="22322" name="Izskats"/>
|
||||||
|
<Item id="22422" name="Izskats"/>
|
||||||
|
<Item id="22472" name="Izskats"/>
|
||||||
|
<Item id="22522" name="Izskats"/>
|
||||||
|
<Item id="22572" name="Izskats"/>
|
||||||
|
<Item id="22622" name="Izskats"/>
|
||||||
</Keywords>
|
</Keywords>
|
||||||
<Comment title="Komentârs un numurs">
|
<Comment title="Paskaidrojumi un numuri">
|
||||||
<Item id="23301" name="Komentâra lînija"/>
|
<Item id="23003" name="Paskaidrojuma novietojums rindâ"/>
|
||||||
<Item id="23101" name="Komentâra bloks"/>
|
<Item id="23004" name="Atďaut jebkur"/>
|
||||||
<Item id="23113" name="Komentâra sâkums:"/>
|
<Item id="23005" name="Tikai rindas sâkumâ"/>
|
||||||
<Item id="23115" name="Komentâra beigas:"/>
|
<Item id="23006" name="Atďaut sâkumâ tukđumus"/>
|
||||||
<Item id="23116" name="Apstrâdât atslçgvârdu kâ simbolu"/>
|
<Item id="23001" name="Atďaut salocît paskaidrojumus"/>
|
||||||
<Item id="23117" name="Apstrâdât atslçgvârdus kâ simbolus"/>
|
<Item id="23326" name="Izskats"/>
|
||||||
<Item id="23201" name="Numurs"/>
|
<Item id="23323" name="Atverođâ"/>
|
||||||
|
<Item id="23324" name="Turpinođâ"/>
|
||||||
|
<Item id="23325" name="Aizverođâ"/>
|
||||||
|
<Item id="23301" name="Paskaidrojumu bloks"/>
|
||||||
|
<Item id="23124" name="Izskats"/>
|
||||||
|
<Item id="23122" name="Atverođâ"/>
|
||||||
|
<Item id="23123" name="Aizverođâ"/>
|
||||||
|
<Item id="23101" name="Paskaidrojuma rinda"/>
|
||||||
|
<Item id="23201" name="Skaitďi"/>
|
||||||
|
<Item id="23220" name="Izskats"/>
|
||||||
|
<Item id="23230" name="1. pirms"/>
|
||||||
|
<Item id="23232" name="2. pirms"/>
|
||||||
|
<Item id="23234" name="1. papildus"/>
|
||||||
|
<Item id="23236" name="2. papildus"/>
|
||||||
|
<Item id="23238" name="1. aiz"/>
|
||||||
|
<Item id="23240" name="2. aiz"/>
|
||||||
|
<Item id="23242" name="Virknes:"/>
|
||||||
|
<Item id="23244" name="Decimâlatdalîtâjs"/>
|
||||||
|
<Item id="23245" name="punkts"/>
|
||||||
|
<Item id="23246" name="komats"/>
|
||||||
|
<Item id="23247" name="abi"/>
|
||||||
</Comment>
|
</Comment>
|
||||||
<Operator title="Operatori">
|
<Operator title="Operatori un atdalîtâji">
|
||||||
<Item id="24107" name="Operators"/>
|
<Item id="24101" name="Operatori"/>
|
||||||
<Item id="24103" name="Pieejamie simboli"/>
|
<Item id="24113" name="Izskats"/>
|
||||||
<Item id="24101" name="Aktivizçtie operatori"/>
|
<Item id="24116" name="1. operatori"/>
|
||||||
<Item id="24201" name="Norobeþotâjs 1"/>
|
<Item id="24117" name="2. operatori (nepiecieđmas atdalîtâjs)"/>
|
||||||
<Item id="24211" name="Robeþas sâkums:"/>
|
<Item id="24201" name="1. atdalîtâju izskats"/>
|
||||||
<Item id="24214" name="Robeþas beigas:"/>
|
<Item id="24220" name="Atverođâ:"/>
|
||||||
<Item id="24301" name="Norobeþotâjs 2"/>
|
<Item id="24221" name="Izbçgđanas:"/>
|
||||||
<Item id="24311" name="Robeþas sâkums:"/>
|
<Item id="24222" name="Aizverođâ:"/>
|
||||||
<Item id="24314" name="Robeþas beigas:"/>
|
<Item id="24223" name="Izskats"/>
|
||||||
|
<Item id="24301" name="2. atdalîtâju izskats"/>
|
||||||
|
<Item id="24320" name="Atverođâ:"/>
|
||||||
|
<Item id="24321" name="Izbçgđanas:"/>
|
||||||
|
<Item id="24322" name="Aizverođâ:"/>
|
||||||
|
<Item id="24323" name="Izskats"/>
|
||||||
|
<Item id="24401" name="3. atdalîtâju izskats"/>
|
||||||
|
<Item id="24420" name="Atverođâ:"/>
|
||||||
|
<Item id="24421" name="Izbçgđanas:"/>
|
||||||
|
<Item id="24422" name="Aizverođâ:"/>
|
||||||
|
<Item id="24423" name="Izskats"/>
|
||||||
|
<Item id="24451" name="4. atdalîtâju izskats"/>
|
||||||
|
<Item id="24470" name="Atverođâ:"/>
|
||||||
|
<Item id="24471" name="Izbçgđanas:"/>
|
||||||
|
<Item id="24472" name="Aizverođâ:"/>
|
||||||
|
<Item id="24473" name="Izskats"/>
|
||||||
|
<Item id="24501" name="5. atdalîtâju izskats"/>
|
||||||
|
<Item id="24520" name="Atverođâ:"/>
|
||||||
|
<Item id="24521" name="Izbçgđanas:"/>
|
||||||
|
<Item id="24522" name="Aizverođâ:"/>
|
||||||
|
<Item id="24523" name="Izskats"/>
|
||||||
|
<Item id="24551" name="6. atdalîtâju izskats"/>
|
||||||
|
<Item id="24570" name="Atverođâ:"/>
|
||||||
|
<Item id="24571" name="Izbçgđanas:"/>
|
||||||
|
<Item id="24572" name="Aizverođâ:"/>
|
||||||
|
<Item id="24573" name="Izskats"/>
|
||||||
|
<Item id="24601" name="7. atdalîtâju izskats"/>
|
||||||
|
<Item id="24620" name="Atverođâ:"/>
|
||||||
|
<Item id="24621" name="Izbçgđanas:"/>
|
||||||
|
<Item id="24622" name="Aizverođâ:"/>
|
||||||
|
<Item id="24623" name="Izskats"/>
|
||||||
|
<Item id="24651" name="8. atdalîtâju izskats"/>
|
||||||
|
<Item id="24670" name="Atverođâ:"/>
|
||||||
|
<Item id="24671" name="Izbçgđanas:"/>
|
||||||
|
<Item id="24672" name="Aizverođâ:"/>
|
||||||
|
<Item id="24673" name="Izskats"/>
|
||||||
</Operator>
|
</Operator>
|
||||||
<Item id="24001" name="Ieslçgt izbçgđanas rakstzîmi:"/>
|
<Item id="24001" name="Ieslçgt izbçgđanas rakstzîmi:"/>
|
||||||
</UserDefine>
|
</UserDefine>
|
||||||
@ -471,12 +566,15 @@
|
|||||||
<Item id="6112" name="Aizvçrđanas poga katrai cilnei"/>
|
<Item id="6112" name="Aizvçrđanas poga katrai cilnei"/>
|
||||||
<Item id="6113" name="Ar dubultklikđíi aizver dokumentu"/>
|
<Item id="6113" name="Ar dubultklikđíi aizver dokumentu"/>
|
||||||
<Item id="6118" name="Paslçpt"/>
|
<Item id="6118" name="Paslçpt"/>
|
||||||
<Item id="6119" name="Vairâklîniju"/>
|
<Item id="6119" name="Vairâkrindu"/>
|
||||||
<Item id="6120" name="Vertikâls novietojums"/>
|
<Item id="6120" name="Vertikâls novietojums"/>
|
||||||
|
|
||||||
<Item id="6121" name="Izvçlňu josla"/>
|
<Item id="6121" name="Izvçlňu josla"/>
|
||||||
<Item id="6122" name="Paslçpt (izmantojiet Alt vai F10 taustiňus, lai parâdîtu)"/>
|
<Item id="6122" name="Paslçpt (izmantojiet Alt vai F10 taustiňus, lai parâdîtu)"/>
|
||||||
<Item id="6123" name="Saskarnes valoda"/>
|
<Item id="6123" name="Saskarnes valoda"/>
|
||||||
|
|
||||||
|
<Item id="6125" name="Failu saraksts"/>
|
||||||
|
<Item id="6126" name="Râdît"/>
|
||||||
</Global>
|
</Global>
|
||||||
<Scintillas title="Rediěçđana">
|
<Scintillas title="Rediěçđana">
|
||||||
<Item id="6216" name="Kursora iestatîjumi"/>
|
<Item id="6216" name="Kursora iestatîjumi"/>
|
||||||
@ -498,17 +596,19 @@
|
|||||||
<Item id="6229" name="Izlîdzinâta"/>
|
<Item id="6229" name="Izlîdzinâta"/>
|
||||||
<Item id="6230" name="Ar atkâpi"/>
|
<Item id="6230" name="Ar atkâpi"/>
|
||||||
|
|
||||||
<Item id="6206" name="Râdît lîniju numurus"/>
|
<Item id="6206" name="Râdît rindu numurus"/>
|
||||||
<Item id="6207" name="Râdît grâmatzîmes"/>
|
<Item id="6207" name="Râdît grâmatzîmes"/>
|
||||||
<Item id="6208" name="Râdît vertikâlo sleju atzîmi"/>
|
<Item id="6208" name="Râdît vertikâlo sleju atzîmi"/>
|
||||||
<Item id="6209" name="Sleju skaits:"/>
|
<Item id="6209" name="Sleju skaits:"/>
|
||||||
|
|
||||||
<Item id="6211" name="Vertikâlo sleju atzîmju iestatîjumi"/>
|
<Item id="6211" name="Vertikâlo sleju atzîmju iestatîjumi"/>
|
||||||
<Item id="6212" name="Lînijas reþîms"/>
|
<Item id="6212" name="Rindas reţîms"/>
|
||||||
<Item id="6213" name="Fona reţîms"/>
|
<Item id="6213" name="Fona reţîms"/>
|
||||||
<Item id="6214" name="Ieslçgt paðreizçjâs lînijas iezîmçðanu"/>
|
<Item id="6214" name="Ieslçgt pađreizçjâs rindas iezîmçđanu"/>
|
||||||
|
|
||||||
|
<Item id="6231" name="Apmales platums"/>
|
||||||
</Scintillas>
|
</Scintillas>
|
||||||
<NewDoc title="Jauns dokuments/noklusçtâ mape">
|
<NewDoc title="Jauns dokuments">
|
||||||
<Item id="6401" name="Formâts"/>
|
<Item id="6401" name="Formâts"/>
|
||||||
<Item id="6402" name="Windows"/>
|
<Item id="6402" name="Windows"/>
|
||||||
<Item id="6403" name="Unix"/>
|
<Item id="6403" name="Unix"/>
|
||||||
@ -520,28 +620,36 @@
|
|||||||
<Item id="6409" name="UCS-2 Big Endian kodçjums"/>
|
<Item id="6409" name="UCS-2 Big Endian kodçjums"/>
|
||||||
<Item id="6410" name="UCS-2 Little Endian kodçjums"/>
|
<Item id="6410" name="UCS-2 Little Endian kodçjums"/>
|
||||||
<Item id="6411" name="Noklusçtâ valoda:"/>
|
<Item id="6411" name="Noklusçtâ valoda:"/>
|
||||||
<Item id="6413" name="Noklusçtâ mape (atvçrt/saglabât)"/>
|
|
||||||
<Item id="6414" name="Sekot paðreizçjam dokumentam"/>
|
|
||||||
<Item id="6415" name="Atcerçties pçdçjo izmantoto mapi"/>
|
|
||||||
<Item id="6419" name="Jauns dokuments"/>
|
<Item id="6419" name="Jauns dokuments"/>
|
||||||
<Item id="6420" name="Piemçrot atvçrtajiem ANSI failiem"/>
|
<Item id="6420" name="Piemçrot atvçrtajiem ANSI failiem"/>
|
||||||
</NewDoc>
|
</NewDoc>
|
||||||
|
|
||||||
|
<DefaultDir title="Noklusçtâ mape">
|
||||||
|
<Item id="6413" name="Noklusçtâ mape (atvçrt/saglabât)"/>
|
||||||
|
<Item id="6414" name="Sekot pađreizçjam dokumentam"/>
|
||||||
|
<Item id="6415" name="Atcerçties pçdçjo izmantoto mapi"/>
|
||||||
|
</DefaultDir>
|
||||||
|
|
||||||
<FileAssoc title="Failu veidi">
|
<FileAssoc title="Failu veidi">
|
||||||
<Item id="4009" name="Atbalstîtie papl.:"/>
|
<Item id="4009" name="Atbalstîtie papl.:"/>
|
||||||
<Item id="4010" name="Reěistrçtie papl.:"/>
|
<Item id="4010" name="Reěistrçtie papl.:"/>
|
||||||
</FileAssoc>
|
</FileAssoc>
|
||||||
<LangMenu title="Valodu izvçlne/tabulatoru iestatîjumi">
|
<LangMenu title="Valodu izvçlne">
|
||||||
<Item id="6301" name="Tabulâcijas iestatîjumi"/>
|
|
||||||
<Item id="6302" name="Aizstât ar atstarpi"/>
|
|
||||||
<Item id="6303" name="Tab. platums:"/>
|
|
||||||
<Item id="6505" name="Pieejamie rîki"/>
|
<Item id="6505" name="Pieejamie rîki"/>
|
||||||
<Item id="6506" name="Noňemtie rîki"/>
|
<Item id="6506" name="Noňemtie rîki"/>
|
||||||
<Item id="6507" name="Padarît valodu izvçlni kompaktâku"/>
|
<Item id="6507" name="Padarît valodu izvçlni kompaktâku"/>
|
||||||
<Item id="6508" name="Valodu izvçlne"/>
|
<Item id="6508" name="Valodu izvçlne"/>
|
||||||
<Item id="6510" name="Noklusçtâ vçrtîba"/>
|
|
||||||
</LangMenu>
|
</LangMenu>
|
||||||
|
|
||||||
|
<TabSettings title="Tabulatoru iestatîjumi">
|
||||||
|
<Item id="6301" name="Tabulâcijas iestatîjumi"/>
|
||||||
|
<Item id="6302" name="Aizstât ar atstarpi"/>
|
||||||
|
<Item id="6303" name="Tab. platums: "/>
|
||||||
|
<Item id="6510" name="Noklusçtâ vçrtîba"/>
|
||||||
|
</TabSettings>
|
||||||
|
|
||||||
<Print title="Drukât">
|
<Print title="Drukât">
|
||||||
<Item id="6601" name="Drukât lîniju numurus"/>
|
<Item id="6601" name="Drukât rindu numurus"/>
|
||||||
<Item id="6602" name="Krâsu iestatîjumi"/>
|
<Item id="6602" name="Krâsu iestatîjumi"/>
|
||||||
<Item id="6603" name="Kâ redzi (WYSIWYG)"/>
|
<Item id="6603" name="Kâ redzi (WYSIWYG)"/>
|
||||||
<Item id="6604" name="Mainît vietâm"/>
|
<Item id="6604" name="Mainît vietâm"/>
|
||||||
@ -555,30 +663,40 @@
|
|||||||
<Item id="6706" name="Treknraksts"/>
|
<Item id="6706" name="Treknraksts"/>
|
||||||
<Item id="6707" name="Kursîvs"/>
|
<Item id="6707" name="Kursîvs"/>
|
||||||
<Item id="6708" name="Galvene"/>
|
<Item id="6708" name="Galvene"/>
|
||||||
<Item id="6709" name="Kreisâ daïa"/>
|
<Item id="6709" name="Kreisâ puse"/>
|
||||||
<Item id="6710" name="Vidçjâ daïa"/>
|
<Item id="6710" name="Vidus"/>
|
||||||
<Item id="6711" name="Labâ daïa"/>
|
<Item id="6711" name="Labâ puse"/>
|
||||||
<Item id="6717" name="Treknraksts"/>
|
<Item id="6717" name="Treknraksts"/>
|
||||||
<Item id="6718" name="Slîpraksts"/>
|
<Item id="6718" name="Slîpraksts"/>
|
||||||
<Item id="6719" name="Kâjene"/>
|
<Item id="6719" name="Kâjene"/>
|
||||||
<Item id="6720" name="Kreisâ daïa"/>
|
<Item id="6720" name="Kreisâ puse"/>
|
||||||
<Item id="6721" name="Vidçjâ daïa"/>
|
<Item id="6721" name="Vidus"/>
|
||||||
<Item id="6722" name="Labâ daïa"/>
|
<Item id="6722" name="Labâ puse"/>
|
||||||
<Item id="6723" name="Pievienot"/>
|
<Item id="6723" name="Pievienot"/>
|
||||||
<Item id="6725" name="Mainîgais:"/>
|
<Item id="6725" name="Mainîgais:"/>
|
||||||
|
<Item id="6727" name="Đeit râdîs piemçru"/>
|
||||||
<Item id="6728" name="Galvene un kâjene"/>
|
<Item id="6728" name="Galvene un kâjene"/>
|
||||||
</Print>
|
</Print>
|
||||||
|
|
||||||
|
<RecentFilesHistory title="Nesen lietotie faili">
|
||||||
|
<Item id="6304" name="Nesen lietoto failu saraksts"/>
|
||||||
|
<Item id="6306" name="Atcerçties ne vairâk kâ:"/>
|
||||||
|
<Item id="6305" name="Nepârbaudît palaiţot programmu"/>
|
||||||
|
<Item id="6429" name="Râdît"/>
|
||||||
|
<Item id="6424" name="Kâ apakđizvçlni"/>
|
||||||
|
<Item id="6425" name="Tikai failu vârdus"/>
|
||||||
|
<Item id="6426" name="Pilnu ceďu"/>
|
||||||
|
<Item id="6427" name="Ierobeţot redzamo garumu:"/>
|
||||||
|
</RecentFilesHistory>
|
||||||
|
|
||||||
<MISC title="Citi">
|
<MISC title="Citi">
|
||||||
<Item id="6304" name="Bieþâk izmantoto failu vçsture"/>
|
|
||||||
<Item id="6305" name="Nepârbaudît palaiðanas laikâ"/>
|
|
||||||
<Item id="6306" name="Maksimâlais ierakstu skaits:"/>
|
|
||||||
<Item id="6307" name="Ieslçgt"/>
|
<Item id="6307" name="Ieslçgt"/>
|
||||||
<Item id="6308" name="Minimizçjot pârvietot sistçmas ikonu joslâ"/>
|
<Item id="6308" name="Minimizçjot pârvietot sistçmas ikonu joslâ"/>
|
||||||
<Item id="6309" name="Atcerçties pađreizçjo sesiju lîdz nâkođajai palaiđanas reizei"/>
|
<Item id="6309" name="Atcerçties pađreizçjo sesiju lîdz nâkođajai palaiđanas reizei"/>
|
||||||
<Item id="6312" name="Faila statusa automâtiska noteikđana"/>
|
<Item id="6312" name="Faila statusa automâtiska noteikđana"/>
|
||||||
<Item id="6313" name="Atjauninât klusi"/>
|
<Item id="6313" name="Atjauninât klusi"/>
|
||||||
<Item id="6318" name="Hipersaiđu iestatîjumi"/>
|
<Item id="6318" name="Hipersaiđu iestatîjumi"/>
|
||||||
<Item id="6325" name="Pabeidzot, noritinât lîdz pçdçjai lînijai"/>
|
<Item id="6325" name="Pabeidzot, noritinât lîdz pçdçjai rindai"/>
|
||||||
<Item id="6319" name="Ieslçgt"/>
|
<Item id="6319" name="Ieslçgt"/>
|
||||||
<Item id="6320" name="Bez pasvîtrojuma"/>
|
<Item id="6320" name="Bez pasvîtrojuma"/>
|
||||||
<Item id="6322" name="Sesijas faila papl.:"/>
|
<Item id="6322" name="Sesijas faila papl.:"/>
|
||||||
@ -590,28 +708,33 @@
|
|||||||
<Item id="6328" name="Izcelta birkas atribűtus"/>
|
<Item id="6328" name="Izcelta birkas atribűtus"/>
|
||||||
<Item id="6330" name="Izcelt komentâru/php/asp zonu"/>
|
<Item id="6330" name="Izcelt komentâru/php/asp zonu"/>
|
||||||
|
|
||||||
<Item id="6331" name="Virsraksta joslâ râdît tikai failu nosaukumus"/>
|
<Item id="6331" name="Virsraksta joslâ râdît tikai failu vârdus"/>
|
||||||
<Item id="6114" name="Ieslçgt"/>
|
<Item id="6114" name="Ieslçgt"/>
|
||||||
<Item id="6115" name="Automâtiska atkâpe"/>
|
<Item id="6115" name="Automâtiska atkâpe"/>
|
||||||
<Item id="6117" name="Ieslçgt MRU kârtoðanu"/>
|
<Item id="6117" name="Ieslçgt kârtođanu pçc izmantojuma"/>
|
||||||
|
|
||||||
</MISC>
|
</MISC>
|
||||||
<Backup title="Atkopðana/automâtiskâ pabeigðana">
|
|
||||||
|
<Backup title="Atkopđana">
|
||||||
<Item id="6801" name="Atkopđana"/>
|
<Item id="6801" name="Atkopđana"/>
|
||||||
<Item id="6315" name="Nav"/>
|
<Item id="6315" name="Nav"/>
|
||||||
<Item id="6316" name="Vienkârđa atkopđana"/>
|
<Item id="6316" name="Vienkârđa atkopđana"/>
|
||||||
<Item id="6317" name="Datçtâ atkopđana"/>
|
<Item id="6317" name="Datçtâ atkopđana"/>
|
||||||
<Item id="6804" name="Pielâgotâ atkopđanas mape"/>
|
<Item id="6804" name="Pielâgotâ atkopđanas mape"/>
|
||||||
<Item id="6803" name="Mape:"/>
|
<Item id="6803" name="Mape:"/>
|
||||||
|
</Backup>
|
||||||
|
|
||||||
|
<AutoCompletion title="Automâtiskâ pabeigđana">
|
||||||
<Item id="6807" name="Automâtiskâ pabeigđana"/>
|
<Item id="6807" name="Automâtiskâ pabeigđana"/>
|
||||||
<Item id="6808" name="Ieslçgt ievades automâtisko pabeigđanu"/>
|
<Item id="6808" name="Ieslçgt ievades automâtisko pabeigđanu"/>
|
||||||
<Item id="6809" name="Funkciju pabeigđana"/>
|
<Item id="6809" name="Funkciju pabeigđana"/>
|
||||||
<Item id="6810" name="Vârdu pabeigðana"/>
|
<Item id="6810" name="Funkciju pabeigđana"/>
|
||||||
<Item id="6811" name="No"/>
|
<Item id="6811" name="No"/>
|
||||||
<Item id="6813" name=". rakstzîmes"/>
|
<Item id="6813" name=". rakstzîmes"/>
|
||||||
<Item id="6814" name="Pieňemamâs vçrtîbas: 1 - 9"/>
|
<Item id="6814" name="Pieňemamâs vçrtîbas: 1 - 9"/>
|
||||||
<Item id="6815" name="Parametru norâde ievadot funkciju"/>
|
<Item id="6815" name="Parametru norâde ievadot funkciju"/>
|
||||||
</Backup>
|
</AutoCompletion>
|
||||||
|
|
||||||
</Preference>
|
</Preference>
|
||||||
<MultiMacro title="Palaist makro komandu vairâkas reizes">
|
<MultiMacro title="Palaist makro komandu vairâkas reizes">
|
||||||
<Item id="1" name="Palaist"/>
|
<Item id="1" name="Palaist"/>
|
||||||
|
@ -6,18 +6,18 @@
|
|||||||
|
|
||||||
-->
|
-->
|
||||||
<NotepadPlus>
|
<NotepadPlus>
|
||||||
<Native-Langue name = "Zeneize" filename="Ligurian (Zeneize).xml" >
|
<Native-Langue name = "Zeneize" filename="ligurian.xml" >
|
||||||
<Menu>
|
<Menu>
|
||||||
<Main>
|
<Main>
|
||||||
<!-- Main Menu Entries -->
|
<!-- Main Menu Entries -->
|
||||||
<Entries>
|
<Entries>
|
||||||
<Item menuId="file" name = "&Archivio"/>
|
<Item menuId="file" name = "&File"/>
|
||||||
<Item menuId="edit" name = "&Modifica"/>
|
<Item menuId="edit" name = "&Modifica"/>
|
||||||
<Item menuId="search" name = "Ç&erca"/>
|
<Item menuId="search" name = "Ç&erca"/>
|
||||||
<Item menuId="view" name = "&Vixoalizza"/>
|
<Item menuId="view" name = "&Veddi"/>
|
||||||
<Item menuId="encoding" name = "For&mato"/>
|
<Item menuId="encoding" name = "For&mato"/>
|
||||||
<Item menuId="language" name = "&Lengoaggio"/>
|
<Item menuId="language" name = "&Lengoaggio"/>
|
||||||
<Item menuId="settings" name = "C&onfiguraçion"/>
|
<Item menuId="settings" name = "I&npostaçion"/>
|
||||||
<Item menuId="macro" name = "Macro"/>
|
<Item menuId="macro" name = "Macro"/>
|
||||||
<Item menuId="run" name = "Ezegoi"/>
|
<Item menuId="run" name = "Ezegoi"/>
|
||||||
<Item idName = "Plugins" name = "Plugins"/>
|
<Item idName = "Plugins" name = "Plugins"/>
|
||||||
@ -28,19 +28,19 @@
|
|||||||
<Item subMenuId="edit-copyToClipboard" name = "Còpia inti aponti"/>
|
<Item subMenuId="edit-copyToClipboard" name = "Còpia inti aponti"/>
|
||||||
<Item subMenuId="edit-indent" name = "Indenta"/>
|
<Item subMenuId="edit-indent" name = "Indenta"/>
|
||||||
<Item subMenuId="edit-convertCaseTo" name = "Converti carateri"/>
|
<Item subMenuId="edit-convertCaseTo" name = "Converti carateri"/>
|
||||||
<Item subMenuId="edit-lineOperations" name = "Operaçioin in sce linee"/>
|
<Item subMenuId="edit-lineOperations" name = "Operaçioin in scê linee"/>
|
||||||
<Item subMenuId="edit-comment" name = "Comenta/Decomenta"/>
|
<Item subMenuId="edit-comment" name = "Comenta/Decomenta"/>
|
||||||
<Item subMenuId="edit-autoCompletion" name = "Outo-conpletamento"/>
|
<Item subMenuId="edit-autoCompletion" name = "Outo-conpletamento"/>
|
||||||
<Item subMenuId="edit-eolConversion" name = "Converti caratere de fin de linea"/>
|
<Item subMenuId="edit-eolConversion" name = "Converti caratere de fin de linea"/>
|
||||||
<Item subMenuId="edit-blankOperations" name = "Operaçioin in sci spaççi"/>
|
<Item subMenuId="edit-blankOperations" name = "Operaçioin in scî spaççi"/>
|
||||||
<Item subMenuId="search-markAll" name = "Segna tutti"/>
|
<Item subMenuId="search-markAll" name = "Segna tutti"/>
|
||||||
<Item subMenuId="search-unmarkAll" name = "Leva marcatua"/>
|
<Item subMenuId="search-unmarkAll" name = "Leva marcatua"/>
|
||||||
<Item subMenuId="search-jumpUp" name = "Sata in sce"/>
|
<Item subMenuId="search-jumpUp" name = "Sata in sce"/>
|
||||||
<Item subMenuId="search-jumpDown" name = "Sata zu"/>
|
<Item subMenuId="search-jumpDown" name = "Sata zu"/>
|
||||||
<Item subMenuId="search-bookmark" name = "Segnalibri"/>
|
<Item subMenuId="search-bookmark" name = "Segnalibri"/>
|
||||||
<Item subMenuId="view-showSymbol" name = "Mostra scinboli"/>
|
<Item subMenuId="view-showSymbol" name = "Mostra scinboli"/>
|
||||||
<Item subMenuId="view-zoom" name = "Ingandimento"/>
|
<Item subMenuId="view-zoom" name = "Ingrandimento"/>
|
||||||
<Item subMenuId="view-moveCloneDocument" name = "Spòsta/Clöna documento corente"/>
|
<Item subMenuId="view-moveCloneDocument" name = "Spòsta/Clöna documento atoale"/>
|
||||||
<Item subMenuId="view-collapseLevel" name = "Colassa livello"/>
|
<Item subMenuId="view-collapseLevel" name = "Colassa livello"/>
|
||||||
<Item subMenuId="view-uncollapseLevel" name = "No colasâ livello"/>
|
<Item subMenuId="view-uncollapseLevel" name = "No colasâ livello"/>
|
||||||
<Item subMenuId="encoding-characterSets" name = "Set de carateri"/>
|
<Item subMenuId="encoding-characterSets" name = "Set de carateri"/>
|
||||||
@ -50,15 +50,15 @@
|
|||||||
<Item subMenuId="encoding-cyrillic" name="Cirilico"/>
|
<Item subMenuId="encoding-cyrillic" name="Cirilico"/>
|
||||||
<Item subMenuId="encoding-centralEuropean" name="Ouröpa Çentrale"/>
|
<Item subMenuId="encoding-centralEuropean" name="Ouröpa Çentrale"/>
|
||||||
<Item subMenuId="encoding-chinese" name="Cineize"/>
|
<Item subMenuId="encoding-chinese" name="Cineize"/>
|
||||||
<Item subMenuId="encoding-easternEuropean" name="Ouropa Orientale"/>
|
<Item subMenuId="encoding-easternEuropean" name="Ouröpa Orientale"/>
|
||||||
<Item subMenuId="encoding-greek" name="Grego"/>
|
<Item subMenuId="encoding-greek" name="Grego"/>
|
||||||
<Item subMenuId="encoding-hebrew" name="Ebraico"/>
|
<Item subMenuId="encoding-hebrew" name="Ebraico"/>
|
||||||
<Item subMenuId="encoding-japanese" name="Giaponeize"/>
|
<Item subMenuId="encoding-japanese" name="Giaponeize"/>
|
||||||
<Item subMenuId="encoding-korean" name="Corean"/>
|
<Item subMenuId="encoding-korean" name="Corean"/>
|
||||||
<Item subMenuId="encoding-northEuropean" name="Ouropa do Nòrd"/>
|
<Item subMenuId="encoding-northEuropean" name="Ouröpa do Nòrd"/>
|
||||||
<Item subMenuId="encoding-thai" name="Tailandeize"/>
|
<Item subMenuId="encoding-thai" name="Tailandeize"/>
|
||||||
<Item subMenuId="encoding-turkish" name="Turco"/>
|
<Item subMenuId="encoding-turkish" name="Turco"/>
|
||||||
<Item subMenuId="encoding-westernEuropean" name="Ouropa Oçidentale"/>
|
<Item subMenuId="encoding-westernEuropean" name="Ouröpa Oçidentale"/>
|
||||||
<Item subMenuId="encoding-vietnamese" name="Vietnamita"/>
|
<Item subMenuId="encoding-vietnamese" name="Vietnamita"/>
|
||||||
<Item subMenuId="settings-import" name="Inpòrta" />
|
<Item subMenuId="settings-import" name="Inpòrta" />
|
||||||
</SubEntries>
|
</SubEntries>
|
||||||
@ -68,7 +68,7 @@
|
|||||||
<Item id = "41002" name = "&Arvi..."/>
|
<Item id = "41002" name = "&Arvi..."/>
|
||||||
<Item id = "41003" name = "Særa"/>
|
<Item id = "41003" name = "Særa"/>
|
||||||
<Item id = "41004" name = "S&æra tutti"/>
|
<Item id = "41004" name = "S&æra tutti"/>
|
||||||
<Item id = "41005" name = "Særa tutti levou o documento corente"/>
|
<Item id = "41005" name = "Særa tutti levòu o documento atoale"/>
|
||||||
<Item id = "41006" name = "&Sarva"/>
|
<Item id = "41006" name = "&Sarva"/>
|
||||||
<Item id = "41007" name = "Sarva t&utto"/>
|
<Item id = "41007" name = "Sarva t&utto"/>
|
||||||
<Item id = "41008" name = "S&arva comme..."/>
|
<Item id = "41008" name = "S&arva comme..."/>
|
||||||
@ -78,23 +78,23 @@
|
|||||||
<Item id = "41012" name = "Arvi sescion..."/>
|
<Item id = "41012" name = "Arvi sescion..."/>
|
||||||
<Item id = "41013" name = "Sarva sescion..."/>
|
<Item id = "41013" name = "Sarva sescion..."/>
|
||||||
<Item id = "41014" name = "Ricarega da-o disco"/>
|
<Item id = "41014" name = "Ricarega da-o disco"/>
|
||||||
<Item id = "41015" name = "Sarva unna còpia comme..."/>
|
<Item id = "41015" name = "Sarva còpia comme..."/>
|
||||||
<Item id = "41016" name = "Elimina da-o disco"/>
|
<Item id = "41016" name = "Elimina da-o disco"/>
|
||||||
<Item id = "41017" name = "Rinomina..."/>
|
<Item id = "41017" name = "Rinomina..."/>
|
||||||
<Item id = "42001" name = "Tag&gia"/>
|
<Item id = "42001" name = "Tag&gia"/>
|
||||||
<Item id = "42002" name = "&Còpia"/>
|
<Item id = "42002" name = "&Còpia"/>
|
||||||
<Item id = "42003" name = "An&ulla"/>
|
<Item id = "42003" name = "An&ulla"/>
|
||||||
<Item id = "42004" name = "&Repiggia"/>
|
<Item id = "42004" name = "&Repiggia"/>
|
||||||
<Item id = "42005" name = "&Incolla"/>
|
<Item id = "42005" name = "&Incòlla"/>
|
||||||
<Item id = "42006" name = "&Elimina"/>
|
<Item id = "42006" name = "&Elimina"/>
|
||||||
<Item id = "42007" name = "Seleçionn-a t&utto"/>
|
<Item id = "42007" name = "Seleçionn-a t&utto"/>
|
||||||
<Item id = "42008" name = "Introduxi tabulaçion (indent)"/>
|
<Item id = "42008" name = "Introduxi tabulaçion (indent)"/>
|
||||||
<Item id = "42009" name = "Leva tabulaçion (outdent)"/>
|
<Item id = "42009" name = "Leva tabulaçion (outdent)"/>
|
||||||
<Item id = "42010" name = "Duplica a riga corente"/>
|
<Item id = "42010" name = "Duplica a riga atoale"/>
|
||||||
<Item id = "42012" name = "Dividi righe"/>
|
<Item id = "42012" name = "Dividi righe"/>
|
||||||
<Item id = "42013" name = "Unisci righe"/>
|
<Item id = "42013" name = "Unisci righe"/>
|
||||||
<Item id = "42014" name = "Spòsta in sciù a riga corente"/>
|
<Item id = "42014" name = "Spòsta in sciù a riga atoale"/>
|
||||||
<Item id = "42015" name = "Spòsta in zu a riga corente"/>
|
<Item id = "42015" name = "Spòsta in zu a riga atoale"/>
|
||||||
<Item id = "42016" name = "Tutto maiuscole"/>
|
<Item id = "42016" name = "Tutto maiuscole"/>
|
||||||
<Item id = "42017" name = "Tutto minuscole"/>
|
<Item id = "42017" name = "Tutto minuscole"/>
|
||||||
<Item id = "42018" name = "&Iniçia registraçion"/>
|
<Item id = "42018" name = "&Iniçia registraçion"/>
|
||||||
@ -106,17 +106,17 @@
|
|||||||
<Item id = "42025" name = "Sarva a macro registrâ"/>
|
<Item id = "42025" name = "Sarva a macro registrâ"/>
|
||||||
<Item id = "42026" name = "Direçion do tèsto RTL"/>
|
<Item id = "42026" name = "Direçion do tèsto RTL"/>
|
||||||
<Item id = "42027" name = "Direçion do tèsto LTR"/>
|
<Item id = "42027" name = "Direçion do tèsto LTR"/>
|
||||||
<Item id = "42028" name = "Archivio in sola letua"/>
|
<Item id = "42028" name = "File in sola letua"/>
|
||||||
<Item id = "42029" name = "Percorso d'archivio corente"/>
|
<Item id = "42029" name = "Percorso do file atoale"/>
|
||||||
<Item id = "42030" name = "Nome d'archivio corente"/>
|
<Item id = "42030" name = "Nomme do file atoale"/>
|
||||||
<Item id = "42031" name = "Cartella corente"/>
|
<Item id = "42031" name = "Cartèlla atoale"/>
|
||||||
<Item id = "42032" name = "Ezegoî unna macro ciù votte..."/>
|
<Item id = "42032" name = "Ezegoi 'na macro ciù vòtte..."/>
|
||||||
<Item id = "42033" name = "Netezza o flag de sola letua"/>
|
<Item id = "42033" name = "Netezza o flag de sola letua"/>
|
||||||
<Item id = "42034" name = "Editô a colònne..."/>
|
<Item id = "42034" name = "Editô a colònne..."/>
|
||||||
<Item id = "42035" name = "Comenta blòcco (riga pe riga)"/>
|
<Item id = "42035" name = "Comenta blòcco (riga pe riga)"/>
|
||||||
<Item id = "42036" name = "Decommenta blòcco (riga pe riga)"/>
|
<Item id = "42036" name = "Decommenta blòcco (riga pe riga)"/>
|
||||||
<Item id = "42040" name = "Arvi tutti i archivi reçenti"/>
|
<Item id = "42040" name = "Arvi tutti i file reçenti"/>
|
||||||
<Item id = "42041" name = "Netezza lista d'archivi reçenti"/>
|
<Item id = "42041" name = "Netezza o listin de file reçenti"/>
|
||||||
<Item id = "42042" name = "Elimina spaççi iniçiali"/>
|
<Item id = "42042" name = "Elimina spaççi iniçiali"/>
|
||||||
<Item id = "42043" name = "Elimina spaççi iniçiali e finali"/>
|
<Item id = "42043" name = "Elimina spaççi iniçiali e finali"/>
|
||||||
<Item id = "42044" name = "Converti EOL in Spaçio"/>
|
<Item id = "42044" name = "Converti EOL in Spaçio"/>
|
||||||
@ -141,7 +141,7 @@
|
|||||||
<Item id = "43017" name = "Leva marcatua"/>
|
<Item id = "43017" name = "Leva marcatua"/>
|
||||||
<Item id = "43018" name = "Taggia righe con segnalibbri"/>
|
<Item id = "43018" name = "Taggia righe con segnalibbri"/>
|
||||||
<Item id = "43019" name = "Copia righe con segnalibbri"/>
|
<Item id = "43019" name = "Copia righe con segnalibbri"/>
|
||||||
<Item id = "43020" name = "Incolla (sostitoisci) righe con segnalibbri "/>
|
<Item id = "43020" name = "Incòlla (sostitoisci) righe con segnalibbri "/>
|
||||||
<Item id = "43021" name = "Elimina righe con segnalibbri"/>
|
<Item id = "43021" name = "Elimina righe con segnalibbri"/>
|
||||||
<Item id = "43022" name = "Deuvia 1° stile"/>
|
<Item id = "43022" name = "Deuvia 1° stile"/>
|
||||||
<Item id = "43024" name = "Deuvia 2° stile"/>
|
<Item id = "43024" name = "Deuvia 2° stile"/>
|
||||||
@ -166,7 +166,7 @@
|
|||||||
<Item id = "43042" name = "4° stile"/>
|
<Item id = "43042" name = "4° stile"/>
|
||||||
<Item id = "43043" name = "5° stile"/>
|
<Item id = "43043" name = "5° stile"/>
|
||||||
<Item id = "43044" name = "Atreuva stile"/>
|
<Item id = "43044" name = "Atreuva stile"/>
|
||||||
<Item id = "43045" name = "Barcon di dæti da riçerca"/>
|
<Item id = "43045" name = "Barcon di dæti de riçerca"/>
|
||||||
<Item id = "43046" name = "Dæto de riçerca sucescivo"/>
|
<Item id = "43046" name = "Dæto de riçerca sucescivo"/>
|
||||||
<Item id = "43047" name = "Dæto de riçerca precedente"/>
|
<Item id = "43047" name = "Dæto de riçerca precedente"/>
|
||||||
<Item id = "43048" name = "Seleçionn-a e atreuva sucescivo"/>
|
<Item id = "43048" name = "Seleçionn-a e atreuva sucescivo"/>
|
||||||
@ -183,9 +183,9 @@
|
|||||||
<Item id = "44025" name = "Mostra spaççi gianchi e tabulaçioin"/>
|
<Item id = "44025" name = "Mostra spaççi gianchi e tabulaçioin"/>
|
||||||
<Item id = "44026" name = "Mostra fin da riga"/>
|
<Item id = "44026" name = "Mostra fin da riga"/>
|
||||||
<Item id = "44029" name = "Espandi tutti i livelli"/>
|
<Item id = "44029" name = "Espandi tutti i livelli"/>
|
||||||
<Item id = "44030" name = "Contranni a-o livello corente"/>
|
<Item id = "44030" name = "Contranni a-o livello atoale"/>
|
||||||
<Item id = "44031" name = "Espandi o livello corente"/>
|
<Item id = "44031" name = "Espandi o livello atoale"/>
|
||||||
<Item id = "44032" name = "Vixoalizaçion a tutto schermo"/>
|
<Item id = "44032" name = "Vista a tutto schermo"/>
|
||||||
<Item id = "44033" name = "Repiggia o zoom predefinio"/>
|
<Item id = "44033" name = "Repiggia o zoom predefinio"/>
|
||||||
<Item id = "44034" name = "Senpre in primmo cian"/>
|
<Item id = "44034" name = "Senpre in primmo cian"/>
|
||||||
<Item id = "44035" name = "Sincronizza scorimento verticale"/>
|
<Item id = "44035" name = "Sincronizza scorimento verticale"/>
|
||||||
@ -222,7 +222,7 @@
|
|||||||
<Item id = "47002" name = "Pagina do progetto Notepad++"/>
|
<Item id = "47002" name = "Pagina do progetto Notepad++"/>
|
||||||
<Item id = "47003" name = "Agiutto in linea"/>
|
<Item id = "47003" name = "Agiutto in linea"/>
|
||||||
<Item id = "47004" name = "Forum"/>
|
<Item id = "47004" name = "Forum"/>
|
||||||
<Item id = "47005" name = "Otegni atri conplementi"/>
|
<Item id = "47005" name = "Òtêgni atri conplementi"/>
|
||||||
<Item id = "47006" name = "Agiorna Notepad++"/>
|
<Item id = "47006" name = "Agiorna Notepad++"/>
|
||||||
<Item id = "47008" name = "Goidda..."/>
|
<Item id = "47008" name = "Goidda..."/>
|
||||||
<Item id = "48005" name = "Inpòrta conplementi..." />
|
<Item id = "48005" name = "Inpòrta conplementi..." />
|
||||||
@ -241,17 +241,17 @@
|
|||||||
<Splitter />
|
<Splitter />
|
||||||
<TabBar>
|
<TabBar>
|
||||||
<Item CMID = "0" name = "Særa"/>
|
<Item CMID = "0" name = "Særa"/>
|
||||||
<Item CMID = "1" name = "Særa tutte levou questa chi"/>
|
<Item CMID = "1" name = "Særa tutte levòu questa chi"/>
|
||||||
<Item CMID = "2" name = "Sarva"/>
|
<Item CMID = "2" name = "Sarva"/>
|
||||||
<Item CMID = "3" name = "Sarva comme..."/>
|
<Item CMID = "3" name = "Sarva comme..."/>
|
||||||
<Item CMID = "4" name = "Stanpa"/>
|
<Item CMID = "4" name = "Stanpa"/>
|
||||||
<Item CMID = "5" name = "Spòsta inte l'atra vista"/>
|
<Item CMID = "5" name = "Spòsta inte l'atra vista"/>
|
||||||
<Item CMID = "6" name = "Còpia inte l'atra vista"/>
|
<Item CMID = "6" name = "Còpia inte l'atra vista"/>
|
||||||
<Item CMID = "7" name = "Còpia percorso d'archivio"/>
|
<Item CMID = "7" name = "Còpia percorso de file"/>
|
||||||
<Item CMID = "8" name = "Còpia nomme de l'archivio"/>
|
<Item CMID = "8" name = "Còpia nomme do file"/>
|
||||||
<Item CMID = "9" name = "Còpia percorso da cartella"/>
|
<Item CMID = "9" name = "Còpia percorso da cartèlla"/>
|
||||||
<Item CMID = "10" name = "Rinomina archivio"/>
|
<Item CMID = "10" name = "Rinomina file"/>
|
||||||
<Item CMID = "11" name = "Elimina archivio"/>
|
<Item CMID = "11" name = "Elimina file"/>
|
||||||
<Item CMID = "12" name = "Sola letua"/>
|
<Item CMID = "12" name = "Sola letua"/>
|
||||||
<Item CMID = "13" name = "Reinpòsta bandea de sola letua"/>
|
<Item CMID = "13" name = "Reinpòsta bandea de sola letua"/>
|
||||||
<Item CMID = "14" name = "Spòsta inte 'n neuvo barcon"/>
|
<Item CMID = "14" name = "Spòsta inte 'n neuvo barcon"/>
|
||||||
@ -259,13 +259,13 @@
|
|||||||
</TabBar>
|
</TabBar>
|
||||||
</Menu>
|
</Menu>
|
||||||
<Dialog>
|
<Dialog>
|
||||||
<Find title = "Çerca..." titleFind = "Atreuva" titleReplace = "Sostituisci" titleFindInFiles = "Çerca inti archivi">
|
<Find title = "Çerca..." titleFind = "Atreuva" titleReplace = "Sostitoisci" titleFindInFiles = "Çerca inti file">
|
||||||
<Item id = "1" name = "Atreuva sucescivo"/>
|
<Item id = "1" name = "Atreuva sucescivo"/>
|
||||||
<Item id = "2" name = "Særa"/>
|
<Item id = "2" name = "Særa"/>
|
||||||
<Item id = "1603" name = "Solo &paròlle intreghe"/>
|
<Item id = "1603" name = "Solo &paròlle intreghe"/>
|
||||||
<Item id = "1604" name = "Distingoi tra ma&iuscole e minuscole"/>
|
<Item id = "1604" name = "Distingoi tra ma&iuscole e minuscole"/>
|
||||||
<Item id = "1605" name = "Esprescion regolare"/>
|
<Item id = "1605" name = "Esprescion regolare"/>
|
||||||
<Item id = "1606" name = "Torna sciù se arivou a-a fin"/>
|
<Item id = "1606" name = "Torna sciù se arivòu a-a fin"/>
|
||||||
<Item id = "1608" name = "&Sostitoisci"/>
|
<Item id = "1608" name = "&Sostitoisci"/>
|
||||||
<Item id = "1609" name = "Sostitoisci &tutti"/>
|
<Item id = "1609" name = "Sostitoisci &tutti"/>
|
||||||
<Item id = "1611" name = "S&ostitoisci con: "/>
|
<Item id = "1611" name = "S&ostitoisci con: "/>
|
||||||
@ -273,8 +273,8 @@
|
|||||||
<Item id = "1613" name = "Z&u"/>
|
<Item id = "1613" name = "Z&u"/>
|
||||||
<Item id = "1614" name = "Conta ocorense"/>
|
<Item id = "1614" name = "Conta ocorense"/>
|
||||||
<Item id = "1615" name = "Atreuva tutti"/>
|
<Item id = "1615" name = "Atreuva tutti"/>
|
||||||
<Item id = "1616" name = "Inpòsta segnalibbro in scia riga"/>
|
<Item id = "1616" name = "Inpòsta segnalibbro in sciâ riga"/>
|
||||||
<Item id = "1617" name = "Evidensa i dæti atrovæ"/>
|
<Item id = "1617" name = "Evidensia i dæti atrovæ"/>
|
||||||
<Item id = "1618" name = "Netezza pe ògni riçerca"/>
|
<Item id = "1618" name = "Netezza pe ògni riçerca"/>
|
||||||
<Item id = "1620" name = "Atreuva:"/>
|
<Item id = "1620" name = "Atreuva:"/>
|
||||||
<Item id = "1621" name = "Direçion"/>
|
<Item id = "1621" name = "Direçion"/>
|
||||||
@ -285,16 +285,16 @@
|
|||||||
<Item id = "1633" name = "Netezza"/>
|
<Item id = "1633" name = "Netezza"/>
|
||||||
<Item id = "1635" name = "Sostitoisci tutto inti documenti averti"/>
|
<Item id = "1635" name = "Sostitoisci tutto inti documenti averti"/>
|
||||||
<Item id = "1636" name = "Çerca inte tutti i documenti averti"/>
|
<Item id = "1636" name = "Çerca inte tutti i documenti averti"/>
|
||||||
<Item id = "1637" name = "Çerca inti archivi"/>
|
<Item id = "1637" name = "Çerca inti file"/>
|
||||||
<Item id = "1640" name = "Alterna barcon"/>
|
<Item id = "1640" name = "Alterna barcon"/>
|
||||||
<Item id = "1641" name = "Atreuva tutto into documento corente"/>
|
<Item id = "1641" name = "Atreuva tutto into documento atoale"/>
|
||||||
<Item id = "1654" name = "Filtri:"/>
|
<Item id = "1654" name = "Filtri:"/>
|
||||||
<Item id = "1655" name = "Cartella:"/>
|
<Item id = "1655" name = "Cartèlla:"/>
|
||||||
<Item id = "1656" name = "Atreuva tutti"/>
|
<Item id = "1656" name = "Atreuva tutti"/>
|
||||||
<Item id = "1658" name = "Inte sotocartelle"/>
|
<Item id = "1658" name = "Inte sotocartèlle"/>
|
||||||
<Item id = "1659" name = "Inte cartelle ascoze"/>
|
<Item id = "1659" name = "Inte cartèlle ascoze"/>
|
||||||
<Item id = "1660" name = "&Sostitoisci inti archivi"/>
|
<Item id = "1660" name = "&Sostitoisci inti file"/>
|
||||||
<Item id = "1661" name = "Segoi documento corente"/>
|
<Item id = "1661" name = "Segoi documento atoale"/>
|
||||||
<Item id = "1686" name = "T&rasparensa"/>
|
<Item id = "1686" name = "T&rasparensa"/>
|
||||||
<Item id = "1687" name = "Se perde o focus"/>
|
<Item id = "1687" name = "Se perde o focus"/>
|
||||||
<Item id = "1688" name = "Senpre"/>
|
<Item id = "1688" name = "Senpre"/>
|
||||||
@ -309,7 +309,7 @@
|
|||||||
<Item id = "2008" name = "Offset"/>
|
<Item id = "2008" name = "Offset"/>
|
||||||
</GoToLine>
|
</GoToLine>
|
||||||
<Run title = "Ezegoi...">
|
<Run title = "Ezegoi...">
|
||||||
<Item id = "1903" name = "Programa da ezegoî"/>
|
<Item id = "1903" name = "Programma da ezegoî"/>
|
||||||
<Item id = "1" name = "Ezegoi!"/>
|
<Item id = "1" name = "Ezegoi!"/>
|
||||||
<Item id = "2" name = "Anulla"/>
|
<Item id = "2" name = "Anulla"/>
|
||||||
<Item id = "1904" name = "Sarva..."/>
|
<Item id = "1904" name = "Sarva..."/>
|
||||||
@ -339,9 +339,9 @@
|
|||||||
<Item id = "2227" name = "Abilita cô globale pe-o sfondo"/>
|
<Item id = "2227" name = "Abilita cô globale pe-o sfondo"/>
|
||||||
<Item id = "2228" name = "Abilita stile globale do caratere"/>
|
<Item id = "2228" name = "Abilita stile globale do caratere"/>
|
||||||
<Item id = "2229" name = "Abilita dimenscion globale"/>
|
<Item id = "2229" name = "Abilita dimenscion globale"/>
|
||||||
<Item id = "2230" name = "Abilita stile globale pe-o grassetto"/>
|
<Item id = "2230" name = "Abilita stile globale pe-o grascetto"/>
|
||||||
<Item id = "2231" name = "Abilita stile globale pe-o corscivo"/>
|
<Item id = "2231" name = "Abilita stile globale pe-o corscivo"/>
|
||||||
<Item id = "2232" name = "Abilita stile globale pe-o sotolineou"/>
|
<Item id = "2232" name = "Abilita stile globale pe-o sotolineòu"/>
|
||||||
</SubDialog>
|
</SubDialog>
|
||||||
</StyleConfig>
|
</StyleConfig>
|
||||||
<UserDefine title = "Inpostaçioin personalizæ">
|
<UserDefine title = "Inpostaçioin personalizæ">
|
||||||
@ -350,7 +350,7 @@
|
|||||||
<Item id = "20003" name = "Crea neuvo..."/>
|
<Item id = "20003" name = "Crea neuvo..."/>
|
||||||
<Item id = "20004" name = "Leva"/>
|
<Item id = "20004" name = "Leva"/>
|
||||||
<Item id = "20005" name = "Sarva comme..."/>
|
<Item id = "20005" name = "Sarva comme..."/>
|
||||||
<Item id = "20007" name = "Lengoaggio personalizou: "/>
|
<Item id = "20007" name = "Lengoaggio personalizòu: "/>
|
||||||
<Item id = "20009" name = "Estension:"/>
|
<Item id = "20009" name = "Estension:"/>
|
||||||
<Item id = "20011" name = "Trasparensa"/>
|
<Item id = "20011" name = "Trasparensa"/>
|
||||||
<Item id = "20012" name = "Ignora maiuscole/minuscole"/>
|
<Item id = "20012" name = "Ignora maiuscole/minuscole"/>
|
||||||
@ -364,8 +364,8 @@
|
|||||||
<Item id = "5" name = "Mezua:"/>
|
<Item id = "5" name = "Mezua:"/>
|
||||||
<Item id = "6" name = "Grascetto"/>
|
<Item id = "6" name = "Grascetto"/>
|
||||||
<Item id = "7" name = "Corscivo"/>
|
<Item id = "7" name = "Corscivo"/>
|
||||||
<Item id = "8" name = "Sotolineou"/>
|
<Item id = "8" name = "Sotolineòu"/>
|
||||||
<Folder title = "Cartelle && Predefinii">
|
<Folder title = "Cartèlle && Predefinii">
|
||||||
<Item id = "21101" name = "Inpostaçioin de stile predefinio"/>
|
<Item id = "21101" name = "Inpostaçioin de stile predefinio"/>
|
||||||
<Item id = "21201" name = "Inpostaçioin de paròlle ciave d'avertua blòcco"/>
|
<Item id = "21201" name = "Inpostaçioin de paròlle ciave d'avertua blòcco"/>
|
||||||
<Item id = "21301" name = "Inpostaçioin de paròlle ciave de seratua blòcco"/>
|
<Item id = "21301" name = "Inpostaçioin de paròlle ciave de seratua blòcco"/>
|
||||||
@ -383,8 +383,8 @@
|
|||||||
<Comment title = "Commenti && Numeri">
|
<Comment title = "Commenti && Numeri">
|
||||||
<Item id = "23301" name = "Riga comentâ"/>
|
<Item id = "23301" name = "Riga comentâ"/>
|
||||||
<Item id = "23101" name = "Blòcco di comenti"/>
|
<Item id = "23101" name = "Blòcco di comenti"/>
|
||||||
<Item id = "23113" name = "Iniçio comento:"/>
|
<Item id = "23113" name = "Iniçio do comento:"/>
|
||||||
<Item id = "23115" name = "Fin comento:"/>
|
<Item id = "23115" name = "Fin do comento:"/>
|
||||||
<Item id = "23116" name = "Paròlla ciave comme scinbolo"/>
|
<Item id = "23116" name = "Paròlla ciave comme scinbolo"/>
|
||||||
<Item id = "23117" name = "Paròlle ciave comme scinboli"/>
|
<Item id = "23117" name = "Paròlle ciave comme scinboli"/>
|
||||||
<Item id = "23201" name = "Numero"/>
|
<Item id = "23201" name = "Numero"/>
|
||||||
@ -414,16 +414,16 @@
|
|||||||
<Item id = "6107" name = "Mostra schede picinn-e"/>
|
<Item id = "6107" name = "Mostra schede picinn-e"/>
|
||||||
<Item id = "6108" name = "Blòcca (dizabilitou o rebelâ)"/>
|
<Item id = "6108" name = "Blòcca (dizabilitou o rebelâ)"/>
|
||||||
<Item id = "6109" name = "Ascuisci e schede inative"/>
|
<Item id = "6109" name = "Ascuisci e schede inative"/>
|
||||||
<Item id = "6110" name = "Disegna l'evidensiaçion arancion"/>
|
<Item id = "6110" name = "'Evidensia in colori a scheda ativa"/>
|
||||||
<Item id = "6111" name = "Mostra a bara de stato"/>
|
<Item id = "6111" name = "Mostra a bara de stato"/>
|
||||||
<Item id = "6112" name = "Pomello pe serâ in sce ògni scheda"/>
|
<Item id = "6112" name = "Pomello pe serâ in sce ògni scheda"/>
|
||||||
<Item id = "6113" name = "Dopio clic o særa unna scheda"/>
|
<Item id = "6113" name = "O sciacâ doe vòtte o særa 'na scheda"/>
|
||||||
<Item id = "6118" name = "Ascondi"/>
|
<Item id = "6118" name = "Ascondi"/>
|
||||||
<Item id = "6119" name = "Utilizza ciù righe"/>
|
<Item id = "6119" name = "Deuvia ciù righe"/>
|
||||||
<Item id = "6120" name = "Verticale"/>
|
<Item id = "6120" name = "Verticale"/>
|
||||||
<Item id = "6121" name = "Bara di menù"/>
|
<Item id = "6121" name = "Bara di menù"/>
|
||||||
<Item id = "6122" name = "Ascondi (Alt ò F10 pe mostrâ)"/>
|
<Item id = "6122" name = "Ascondi (Alt ò F10 pe mostrâ)"/>
|
||||||
<Item id = "6123" name = "Seleçionn-a Lengoa"/>
|
<Item id = "6123" name = "Seleçionn-a lengoa"/>
|
||||||
</Global>
|
</Global>
|
||||||
<Scintillas title = "Personalizaçion de conponenti">
|
<Scintillas title = "Personalizaçion de conponenti">
|
||||||
<Item id = "6216" name = "Inpostaçioin do cursô"/>
|
<Item id = "6216" name = "Inpostaçioin do cursô"/>
|
||||||
@ -448,16 +448,16 @@
|
|||||||
<Item id = "6211" name = "Inpostaçion do limite da colònna"/>
|
<Item id = "6211" name = "Inpostaçion do limite da colònna"/>
|
||||||
<Item id = "6212" name = "Modalitæ 'linea'"/>
|
<Item id = "6212" name = "Modalitæ 'linea'"/>
|
||||||
<Item id = "6213" name = "Modalitæ 'sfondo'"/>
|
<Item id = "6213" name = "Modalitæ 'sfondo'"/>
|
||||||
<Item id = "6214" name = "Evidensia a riga corente"/>
|
<Item id = "6214" name = "Evidensia a riga atoale"/>
|
||||||
<Item id = "6224" name = "Inpostaçioin seleçion multipla"/>
|
<Item id = "6224" name = "Inpostaçioin seleçion multipla"/>
|
||||||
<Item id = "6225" name = "Abilita (Ctrl+Click/seleçion)"/>
|
<Item id = "6225" name = "Abilita (Ctrl+Click/seleçion)"/>
|
||||||
<Item id = "6226" name = "Nisciun"/>
|
<Item id = "6226" name = "Nisciun"/>
|
||||||
<Item id = "6227" name = "A cappo outomatico"/>
|
<Item id = "6227" name = "A cappo outomatico"/>
|
||||||
<Item id = "6228" name = "Predefinio"/>
|
<Item id = "6228" name = "Predefinio"/>
|
||||||
<Item id = "6229" name = "Alineou"/>
|
<Item id = "6229" name = "Alineòu"/>
|
||||||
<Item id = "6230" name = "Indentou"/>
|
<Item id = "6230" name = "Indentòu"/>
|
||||||
</Scintillas>
|
</Scintillas>
|
||||||
<NewDoc title = "Neuvo documento/Cartella predefinia">
|
<NewDoc title = "Neuvo documento/cartèlla predefinia">
|
||||||
<Item id = "6401" name = "Formato"/>
|
<Item id = "6401" name = "Formato"/>
|
||||||
<Item id = "6402" name = "Windows"/>
|
<Item id = "6402" name = "Windows"/>
|
||||||
<Item id = "6403" name = "Unix"/>
|
<Item id = "6403" name = "Unix"/>
|
||||||
@ -469,13 +469,13 @@
|
|||||||
<Item id = "6409" name = "UCS-2 Big endian"/>
|
<Item id = "6409" name = "UCS-2 Big endian"/>
|
||||||
<Item id = "6410" name = "UCS-2 Little endian"/>
|
<Item id = "6410" name = "UCS-2 Little endian"/>
|
||||||
<Item id = "6411" name = "Lengoaggio predefinio:"/>
|
<Item id = "6411" name = "Lengoaggio predefinio:"/>
|
||||||
<Item id = "6413" name = "Arvi archivio/Sarva cartella"/>
|
<Item id = "6413" name = "Arvi file/Sarva cartèlla"/>
|
||||||
<Item id = "6414" name = "Segondo o documento corente"/>
|
<Item id = "6414" name = "Segondo o documento atoale"/>
|
||||||
<Item id = "6415" name = "Aregòrda l'urtima cartella deuviâ"/>
|
<Item id = "6415" name = "Aregòrda l'urtima cartèlla deuviâ"/>
|
||||||
<Item id = "6419" name = "Neuvo documento"/>
|
<Item id = "6419" name = "Neuvo documento"/>
|
||||||
<Item id = "6420" name = "Aplica a l'avertura d'archivi ANSI"/>
|
<Item id = "6420" name = "Aplica a l'avertura di file ANSI"/>
|
||||||
</NewDoc>
|
</NewDoc>
|
||||||
<FileAssoc title = "Asociaçion tipi d'archivi">
|
<FileAssoc title = "Asociaçion tipi de file">
|
||||||
<Item id = "4009" name = "Estensioin soportæ:"/>
|
<Item id = "4009" name = "Estensioin soportæ:"/>
|
||||||
<Item id = "4010" name = "Estensioin registræ:"/>
|
<Item id = "4010" name = "Estensioin registræ:"/>
|
||||||
</FileAssoc>
|
</FileAssoc>
|
||||||
@ -486,12 +486,12 @@
|
|||||||
<Item id = "6508" name = "Menù "Lengoaggio"" />
|
<Item id = "6508" name = "Menù "Lengoaggio"" />
|
||||||
<Item id = "6510" name = "Deuvia predefinio" />
|
<Item id = "6510" name = "Deuvia predefinio" />
|
||||||
<Item id = "6301" name = "Inpostaçioin de Tabulaçioin" />
|
<Item id = "6301" name = "Inpostaçioin de Tabulaçioin" />
|
||||||
<Item id = "6302" name = "Sostitoisci con spaççi" />
|
<Item id = "6302" name = "Sostitoisci con di spaççi" />
|
||||||
<Item id = "6303" name = "Mezua de tabulaçioin:" />
|
<Item id = "6303" name = "Mezua de tabulaçioin:" />
|
||||||
</LangMenu>
|
</LangMenu>
|
||||||
<Print title = "Stanpa">
|
<Print title = "Stanpa">
|
||||||
<Item id = "6601" name = "Numeri de riga"/>
|
<Item id = "6601" name = "Numeri de riga"/>
|
||||||
<Item id = "6602" name = "Òpsioin de cô"/>
|
<Item id = "6602" name = "Òpsioìn de cô"/>
|
||||||
<Item id = "6603" name = "WYSIWYG"/>
|
<Item id = "6603" name = "WYSIWYG"/>
|
||||||
<Item id = "6604" name = "Inverti"/>
|
<Item id = "6604" name = "Inverti"/>
|
||||||
<Item id = "6605" name = "Gianco e neigro"/>
|
<Item id = "6605" name = "Gianco e neigro"/>
|
||||||
@ -521,20 +521,20 @@
|
|||||||
<MISC title = "Varie">
|
<MISC title = "Varie">
|
||||||
<Item id = "6304" name = "Documenti reçenti"/>
|
<Item id = "6304" name = "Documenti reçenti"/>
|
||||||
<Item id = "6305" name = "No controlâ a l'iniçio"/>
|
<Item id = "6305" name = "No controlâ a l'iniçio"/>
|
||||||
<Item id = "6306" name = "Elementi deuviæ de reçente:"/>
|
<Item id = "6306" name = "Elementi dêuviæ de reçente:"/>
|
||||||
<Item id = "6307" name = "Ativa outorilevamento"/>
|
<Item id = "6307" name = "Ativa outorilevamento"/>
|
||||||
<Item id = "6308" name = "Minimizza inte l'area de notifica"/>
|
<Item id = "6308" name = "Minimizza inte l'area de notifica"/>
|
||||||
<Item id = "6309" name = "Aregòrda a sescion corente a-o proscimo iniçio"/>
|
<Item id = "6309" name = "Aregòrda a sescion atoale a-o proscimo iniçio"/>
|
||||||
<Item id = "6312" name = "Outorilevamento do stato de l'archivio"/>
|
<Item id = "6312" name = "Outorilevamento do stato do file"/>
|
||||||
<Item id = "6313" name = "Agiorna sensa notifica"/>
|
<Item id = "6313" name = "Agiorna sensa notifica"/>
|
||||||
<Item id = "6114" name = "Abilita"/>
|
<Item id = "6114" name = "Abilita"/>
|
||||||
<Item id = "6115" name = "Indentaçion outomatica"/>
|
<Item id = "6115" name = "Indentaçion outomatica"/>
|
||||||
<!--<Item id = "6117" name = "Abilita o conportamento MRU"/>-->
|
<!--<Item id = "6117" name = "Abilita o conportamento MRU"/>-->
|
||||||
<Item id = "6117" name = "Precedensa a-i archivi iù reçenti"/>
|
<Item id = "6117" name = "Precedensa a-i file ciù reçenti"/>
|
||||||
<Item id = "6318" name = "Inpostaçioin de colegamenti da sciacâ"/>
|
<Item id = "6318" name = "Inpostaçioin de colegamenti da sciacâ"/>
|
||||||
<Item id = "6319" name = "Abilita"/>
|
<Item id = "6319" name = "Abilita"/>
|
||||||
<Item id = "6320" name = "No disegnâ sotolineatua"/>
|
<Item id = "6320" name = "No disegnâ sotolineatua"/>
|
||||||
<Item id = "6322" name = "Est. archivio de sescion:"/>
|
<Item id = "6322" name = "Est. file de sescion:"/>
|
||||||
<Item id = "6323" name = "Agiorna outomaticamente Notepad++"/>
|
<Item id = "6323" name = "Agiorna outomaticamente Notepad++"/>
|
||||||
<Item id = "6324" name = "Cangia documento (CTRL+TAB)"/>
|
<Item id = "6324" name = "Cangia documento (CTRL+TAB)"/>
|
||||||
<Item id = "6325" name = "Vanni a l'urtima riga dòppo l'agiornamento"/>
|
<Item id = "6325" name = "Vanni a l'urtima riga dòppo l'agiornamento"/>
|
||||||
@ -543,12 +543,12 @@
|
|||||||
<Item id = "6328" name = "Evidensia atributi"/>
|
<Item id = "6328" name = "Evidensia atributi"/>
|
||||||
<Item id = "6329" name = "Evidensia tag corispondenti"/>
|
<Item id = "6329" name = "Evidensia tag corispondenti"/>
|
||||||
<Item id = "6330" name = "Evidensia zöne php/asp"/>
|
<Item id = "6330" name = "Evidensia zöne php/asp"/>
|
||||||
<Item id = "6331" name = "Mostra solo nomme d'archivio in scia bara do titolo"/>
|
<Item id = "6331" name = "Mostra solo nomme do file in sciâ bara do titolo"/>
|
||||||
</MISC>
|
</MISC>
|
||||||
<Backup title = "Backup/Outo-conpletamento">
|
<Backup title = "Backup/Outo-conpletamento">
|
||||||
<Item id = "6801" name = "Backup"/>
|
<Item id = "6801" name = "Backup"/>
|
||||||
<Item id = "6803" name = "Cartella:"/>
|
<Item id = "6803" name = "Cartèlla:"/>
|
||||||
<Item id = "6804" name = "Cartella de backup personalizâ:"/>
|
<Item id = "6804" name = "Cartèlla de backup personalizâ:"/>
|
||||||
<Item id = "6807" name = "Outo-conpletamento"/>
|
<Item id = "6807" name = "Outo-conpletamento"/>
|
||||||
<Item id = "6808" name = "Ativa l'outo-conpletamento in sce ògni input"/>
|
<Item id = "6808" name = "Ativa l'outo-conpletamento in sce ògni input"/>
|
||||||
<Item id = "6809" name = "Conpletamento fonsion"/>
|
<Item id = "6809" name = "Conpletamento fonsion"/>
|
||||||
@ -568,7 +568,7 @@
|
|||||||
<Item id = "8006" name = "Macro da ezegoî: "/>
|
<Item id = "8006" name = "Macro da ezegoî: "/>
|
||||||
<Item id = "8001" name = "Ezegoî"/>
|
<Item id = "8001" name = "Ezegoî"/>
|
||||||
<Item id = "8005" name = "vòtte"/>
|
<Item id = "8005" name = "vòtte"/>
|
||||||
<Item id = "8002" name = "Ezegoî fin a-a fin de l'archivio"/>
|
<Item id = "8002" name = "Ezegoî fin a-a fin do file"/>
|
||||||
</MultiMacro>
|
</MultiMacro>
|
||||||
<Window title = "Windows">
|
<Window title = "Windows">
|
||||||
<Item id = "1" name = "Ativa"/>
|
<Item id = "1" name = "Ativa"/>
|
||||||
@ -585,7 +585,7 @@
|
|||||||
<Item id = "2035" name = "Zeri iniçiali"/>
|
<Item id = "2035" name = "Zeri iniçiali"/>
|
||||||
<Item id = "2032" name = "Formato"/>
|
<Item id = "2032" name = "Formato"/>
|
||||||
<Item id = "2024" name = "Deximale"/>
|
<Item id = "2024" name = "Deximale"/>
|
||||||
<Item id = "2025" name = "Òtale"/>
|
<Item id = "2025" name = "Òtâle"/>
|
||||||
<Item id = "2026" name = "Ezadeximale"/>
|
<Item id = "2026" name = "Ezadeximale"/>
|
||||||
<Item id = "2027" name = "Binario"/>
|
<Item id = "2027" name = "Binario"/>
|
||||||
<Item id = "1" name = "D'acòrdio"/>
|
<Item id = "1" name = "D'acòrdio"/>
|
||||||
|
@ -64,29 +64,30 @@
|
|||||||
<!-- all menu item -->
|
<!-- all menu item -->
|
||||||
<Commands>
|
<Commands>
|
||||||
<Item id="1001" name="Распечатать!"/>
|
<Item id="1001" name="Распечатать!"/>
|
||||||
<Item id="41001" name="Íîâűé"/>
|
<Item id="41001" name="&Новый"/>
|
||||||
<Item id="41002" name="Îňęđűňü"/>
|
<Item id="41002" name="&Открыть"/>
|
||||||
<Item id="41003" name="Закрыть"/>
|
<Item id="41003" name="Закрыть"/>
|
||||||
<Item id="41004" name="Çŕęđűňü âńĺ"/>
|
<Item id="41004" name="Закрыть &все"/>
|
||||||
<Item id="41005" name="Закрыть все, кроме активного"/>
|
<Item id="41005" name="Закрыть все, кроме активного"/>
|
||||||
<Item id="41006" name="Ńîőđŕíčňü"/>
|
<Item id="41006" name="&Сохранить"/>
|
||||||
<Item id="41007" name="Ńîőđŕíčňü âńĺ"/>
|
<Item id="41007" name="Сохранить вс&е"/>
|
||||||
<Item id="41008" name="Ńîőđŕíčňü ęŕę..."/>
|
<Item id="41008" name="Сохранить &как..."/>
|
||||||
<Item id="41010" name="Печать..."/>
|
<Item id="41010" name="Печать..."/>
|
||||||
<Item id="41011" name="Âűőîä"/>
|
<Item id="41011" name="В&ыход"/>
|
||||||
<Item id="41012" name="Загрузить сессию..."/>
|
<Item id="41012" name="Загрузить сессию..."/>
|
||||||
<Item id="41013" name="Сохранить сессию..."/>
|
<Item id="41013" name="Сохранить сессию..."/>
|
||||||
<Item id="41014" name="Перезагрузить с диска"/>
|
<Item id="41014" name="Перезагрузить с диска"/>
|
||||||
<Item id="41015" name="Сохранить копию как..."/>
|
<Item id="41015" name="Сохранить копию как..."/>
|
||||||
<Item id="41016" name="Удалить с диска"/>
|
<Item id="41016" name="Удалить с диска"/>
|
||||||
<Item id="41017" name="Переименовать..."/>
|
<Item id="41017" name="Переименовать..."/>
|
||||||
<Item id="42001" name="Âűđĺçŕňü"/>
|
|
||||||
<Item id="42002" name="Ęîďčđîâŕňü"/>
|
<Item id="42001" name="Вы&резать"/>
|
||||||
<Item id="42003" name="Îňěĺíŕ"/>
|
<Item id="42002" name="&Копировать"/>
|
||||||
<Item id="42004" name="Ďîâňîđ"/>
|
<Item id="42003" name="&Отмена"/>
|
||||||
<Item id="42005" name="Âńňŕâčňü"/>
|
<Item id="42004" name="&Повтор"/>
|
||||||
<Item id="42006" name="Óäŕëčňü"/>
|
<Item id="42005" name="&Вставить"/>
|
||||||
<Item id="42007" name="Âűäĺëčňü âń¸"/>
|
<Item id="42006" name="&Удалить"/>
|
||||||
|
<Item id="42007" name="В&ыделить всё"/>
|
||||||
<Item id="42008" name="Вставить Табуляцию"/>
|
<Item id="42008" name="Вставить Табуляцию"/>
|
||||||
<Item id="42009" name="Удалить Табуляцию"/>
|
<Item id="42009" name="Удалить Табуляцию"/>
|
||||||
<Item id="42010" name="Дублировать текущую строку"/>
|
<Item id="42010" name="Дублировать текущую строку"/>
|
||||||
@ -96,10 +97,10 @@
|
|||||||
<Item id="42015" name="Переместить строку Вниз"/>
|
<Item id="42015" name="Переместить строку Вниз"/>
|
||||||
<Item id="42016" name="ПРОПИСНЫЕ"/>
|
<Item id="42016" name="ПРОПИСНЫЕ"/>
|
||||||
<Item id="42017" name="строчные"/>
|
<Item id="42017" name="строчные"/>
|
||||||
<Item id="42018" name="Ńňŕđň çŕďčńč"/>
|
<Item id="42018" name="Стар&т записи"/>
|
||||||
<Item id="42019" name="Ńňîď çŕďčńč"/>
|
<Item id="42019" name="Сто&п записи"/>
|
||||||
<Item id="42020" name="Начало/Конец Выбора"/>
|
<Item id="42020" name="Начало/Конец Выбора"/>
|
||||||
<Item id="42021" name="Ďđîęđóňčňü çŕďčńü"/>
|
<Item id="42021" name="&Проиграть запись"/>
|
||||||
<Item id="42022" name="Вкл./Выкл. Комментарий строки"/>
|
<Item id="42022" name="Вкл./Выкл. Комментарий строки"/>
|
||||||
<Item id="42023" name="Закомментировать выделенное"/>
|
<Item id="42023" name="Закомментировать выделенное"/>
|
||||||
<Item id="42024" name="Убрать Замыкающие Пробелы"/>
|
<Item id="42024" name="Убрать Замыкающие Пробелы"/>
|
||||||
@ -135,8 +136,9 @@
|
|||||||
<Item id="42054" name="Пробел в Табуляцию (Все)"/>
|
<Item id="42054" name="Пробел в Табуляцию (Все)"/>
|
||||||
<Item id="42055" name="Удалить Пустые Строки"/>
|
<Item id="42055" name="Удалить Пустые Строки"/>
|
||||||
<Item id="42056" name="Удалить Пустые Строки (Содер. символы Пробел)"/>
|
<Item id="42056" name="Удалить Пустые Строки (Содер. символы Пробел)"/>
|
||||||
<Item id="43001" name="Íŕéňč..."/>
|
|
||||||
<Item id="43002" name="Čńęŕňü äŕëĺĺ"/>
|
<Item id="43001" name="&Найти..."/>
|
||||||
|
<Item id="43002" name="Искать &далее"/>
|
||||||
<Item id="43003" name="Замена..."/>
|
<Item id="43003" name="Замена..."/>
|
||||||
<Item id="43004" name="Перейти к..."/>
|
<Item id="43004" name="Перейти к..."/>
|
||||||
<Item id="43005" name="Закладка (поставить/снять)"/>
|
<Item id="43005" name="Закладка (поставить/снять)"/>
|
||||||
@ -145,7 +147,7 @@
|
|||||||
<Item id="43008" name="Убрать все Закладки"/>
|
<Item id="43008" name="Убрать все Закладки"/>
|
||||||
<Item id="43009" name="Перейти к парной скобоке"/>
|
<Item id="43009" name="Перейти к парной скобоке"/>
|
||||||
<Item id="43010" name="Искать ранее"/>
|
<Item id="43010" name="Искать ранее"/>
|
||||||
<Item id="43011" name="Ďîčńę ďî ěĺđĺ íŕáîđŕ..."/>
|
<Item id="43011" name="Поиск по мере &набора..."/>
|
||||||
<Item id="43013" name="Найти в файлах"/>
|
<Item id="43013" name="Найти в файлах"/>
|
||||||
<Item id="43014" name="Выбрать и искать далее с Регистром"/>
|
<Item id="43014" name="Выбрать и искать далее с Регистром"/>
|
||||||
<Item id="43015" name="Выбрать и искать ранее с Регистром"/>
|
<Item id="43015" name="Выбрать и искать ранее с Регистром"/>
|
||||||
@ -194,8 +196,8 @@
|
|||||||
<Item id="44019" name="Отображать все символы"/>
|
<Item id="44019" name="Отображать все символы"/>
|
||||||
<Item id="44020" name="Отображать Отступы"/>
|
<Item id="44020" name="Отображать Отступы"/>
|
||||||
<Item id="44022" name="Перенос строк"/>
|
<Item id="44022" name="Перенос строк"/>
|
||||||
<Item id="44023" name="Ęđóďíĺĺ (Ctrl+Ęîë. Ââĺđő)"/>
|
<Item id="44023" name="&Крупнее (Ctrl+Кол. Вверх)"/>
|
||||||
<Item id="44024" name="Ěĺëü÷ĺ (Ctrl+Ęîë. Âíčç)"/>
|
<Item id="44024" name="&Мельче (Ctrl+Кол. Вниз)"/>
|
||||||
<Item id="44025" name="Отображать Пробелы и Табуляции"/>
|
<Item id="44025" name="Отображать Пробелы и Табуляции"/>
|
||||||
<Item id="44026" name="Отображать символ Конец Строки"/>
|
<Item id="44026" name="Отображать символ Конец Строки"/>
|
||||||
<Item id="44029" name="Развернуть все блоки"/>
|
<Item id="44029" name="Развернуть все блоки"/>
|
||||||
@ -250,7 +252,8 @@
|
|||||||
<Item id="48016" name="Измен. гор. клав./Удалить макро..."/>
|
<Item id="48016" name="Измен. гор. клав./Удалить макро..."/>
|
||||||
<Item id="48017" name="Измен. гор. клав./Удалить команду..."/>
|
<Item id="48017" name="Измен. гор. клав./Удалить команду..."/>
|
||||||
<Item id="48018" name="Редакт. Контекстное Меню"/>
|
<Item id="48018" name="Редакт. Контекстное Меню"/>
|
||||||
<Item id="49000" name="Çŕďóńę..."/>
|
<Item id="49000" name="&Запуск..."/>
|
||||||
|
|
||||||
<Item id="50000" name="Завершение функций"/>
|
<Item id="50000" name="Завершение функций"/>
|
||||||
<Item id="50001" name="Завершение слов"/>
|
<Item id="50001" name="Завершение слов"/>
|
||||||
<Item id="50002" name="Подсказка по функциям"/>
|
<Item id="50002" name="Подсказка по функциям"/>
|
||||||
@ -287,16 +290,16 @@
|
|||||||
<Find title = "" titleFind = "Найти" titleReplace = "Заменить" titleFindInFiles = "Найти в файлах" titleMark="Пометки">
|
<Find title = "" titleFind = "Найти" titleReplace = "Заменить" titleFindInFiles = "Найти в файлах" titleMark="Пометки">
|
||||||
<Item id="1" name="Искать далее"/>
|
<Item id="1" name="Искать далее"/>
|
||||||
<Item id="2" name="Закрыть"/>
|
<Item id="2" name="Закрыть"/>
|
||||||
<Item id="1603" name="Ňîëüęî öĺëűĺ ńëîâŕ"/>
|
<Item id="1603" name="Только &целые слова"/>
|
||||||
<Item id="1604" name="Ó÷čňűâŕňü đĺăčńňđ"/>
|
<Item id="1604" name="Учитывать &регистр"/>
|
||||||
<Item id="1605" name="Đĺăóë˙đ. âűđŕćĺí."/>
|
<Item id="1605" name="Регуляр. &выражен."/>
|
||||||
<Item id="1606" name="Çŕöčęëčňü ďîčńę"/>
|
<Item id="1606" name="Заци&клить поиск"/>
|
||||||
<Item id="1608" name="Çŕěĺíčňü"/>
|
<Item id="1608" name="&Заменить"/>
|
||||||
<Item id="1609" name="Çŕěĺíčňü âń¸"/>
|
<Item id="1609" name="Заменить &всё"/>
|
||||||
<Item id="1611" name="Çŕěĺíčňü íŕ:"/>
|
<Item id="1611" name="За&менить на:"/>
|
||||||
<Item id="1612" name="Ââĺđő"/>
|
<Item id="1612" name="Ввер&х"/>
|
||||||
<Item id="1613" name="Âíčç"/>
|
<Item id="1613" name="Вни&з"/>
|
||||||
<Item id="1614" name="Ďîäń÷čňŕňü"/>
|
<Item id="1614" name="Под&считать"/>
|
||||||
<Item id="1615" name="Найти все"/>
|
<Item id="1615" name="Найти все"/>
|
||||||
<Item id="1616" name="Помечать Закладкой"/>
|
<Item id="1616" name="Помечать Закладкой"/>
|
||||||
<Item id="1617" name="Помечать найденное"/>
|
<Item id="1617" name="Помечать найденное"/>
|
||||||
@ -304,30 +307,30 @@
|
|||||||
<Item id="1620" name="Найти:"/>
|
<Item id="1620" name="Найти:"/>
|
||||||
<Item id="1621" name="Направление"/>
|
<Item id="1621" name="Направление"/>
|
||||||
<Item id="1624" name="Режим поиска"/>
|
<Item id="1624" name="Режим поиска"/>
|
||||||
<Item id="1625" name="Îáű÷íűé"/>
|
<Item id="1625" name="О&бычный"/>
|
||||||
<Item id="1626" name="Đŕńřčđĺííűé (\n, \r, \t, \0, \x...)"/>
|
<Item id="1626" name="Рас&ширенный (\n, \r, \t, \0, \x...)"/>
|
||||||
<Item id="1632" name="Â âűäĺëĺííîě"/>
|
<Item id="1632" name="В вы&деленном"/>
|
||||||
<Item id="1633" name="Убрать все"/>
|
<Item id="1633" name="Убрать все"/>
|
||||||
<Item id="1635" name="Заменить всё во всех Открытых Документах"/>
|
<Item id="1635" name="Заменить всё во всех Открытых Документах"/>
|
||||||
<Item id="1636" name="Найти всё во всех Открытых Документах"/>
|
<Item id="1636" name="Найти всё во всех Открытых Документах"/>
|
||||||
<Item id="1637" name="Искать в файлах"/>
|
<Item id="1637" name="Искать в файлах"/>
|
||||||
<Item id="1641" name="Найти все в
Текущем Документе"/>
|
<Item id="1641" name="Найти все в
Текущем Документе"/>
|
||||||
<Item id="1654" name="Ôčëüňđű:"/>
|
<Item id="1654" name="&Фильтры:"/>
|
||||||
<Item id="1655" name="Папка:"/>
|
<Item id="1655" name="Папка:"/>
|
||||||
<Item id="1656" name="Найти все"/>
|
<Item id="1656" name="Найти все"/>
|
||||||
<Item id="1658" name="Во всех подпапках"/>
|
<Item id="1658" name="Во всех подпапках"/>
|
||||||
<Item id="1659" name="В скрытых папках"/>
|
<Item id="1659" name="В скрытых папках"/>
|
||||||
<Item id="1660" name="Çŕěĺíčňü â ôŕéëŕő"/>
|
<Item id="1660" name="За&менить в файлах"/>
|
||||||
<Item id="1661" name="След. за текущим док."/>
|
<Item id="1661" name="След. за текущим док."/>
|
||||||
<Item id="1686" name="Прозрачность"/>
|
<Item id="1686" name="Прозрачность"/>
|
||||||
<Item id="1687" name="Когда неактивно"/>
|
<Item id="1687" name="Когда неактивно"/>
|
||||||
<Item id="1688" name="Всегда"/>
|
<Item id="1688" name="Всегда"/>
|
||||||
<Item id="1703" name="č íîâűĺ ńňđîęč"/>
|
<Item id="1703" name="и &новые строки"/>
|
||||||
</Find>
|
</Find>
|
||||||
<GoToLine title= "К строке...">
|
<GoToLine title= "К строке...">
|
||||||
<Item id="2007" name="Строка"/>
|
<Item id="2007" name="Строка"/>
|
||||||
<Item id="2008" name="Столбец"/>
|
<Item id="2008" name="Столбец"/>
|
||||||
<Item id="1" name="Âďĺđĺä!"/>
|
<Item id="1" name="&Вперед!"/>
|
||||||
<Item id="2" name="Отмена"/>
|
<Item id="2" name="Отмена"/>
|
||||||
<Item id="2004" name="Сейчас вы здесь:"/>
|
<Item id="2004" name="Сейчас вы здесь:"/>
|
||||||
<Item id="2005" name="Хотите пройти к:"/>
|
<Item id="2005" name="Хотите пройти к:"/>
|
||||||
@ -343,7 +346,7 @@
|
|||||||
|
|
||||||
<StyleConfig title="Определение стилей">
|
<StyleConfig title="Определение стилей">
|
||||||
<Item id="2" name="Отмена"/>
|
<Item id="2" name="Отмена"/>
|
||||||
<Item id="2301" name="ÎĘ"/>
|
<Item id="2301" name="&ОК"/>
|
||||||
<Item id="2303" name="Прозрачность"/>
|
<Item id="2303" name="Прозрачность"/>
|
||||||
<Item id="2306" name="Выбрать стиль:"/>
|
<Item id="2306" name="Выбрать стиль:"/>
|
||||||
<SubDialog>
|
<SubDialog>
|
||||||
@ -418,7 +421,7 @@
|
|||||||
<Item id="25027" name="Оператор 2"/>
|
<Item id="25027" name="Оператор 2"/>
|
||||||
<Item id="25028" name="Числа"/>
|
<Item id="25028" name="Числа"/>
|
||||||
</StylerDialog>
|
</StylerDialog>
|
||||||
<Folder title="Ńňŕíäŕđňíűé">
|
<Folder title="&Стандартный">
|
||||||
<Item id="21101" name="Настройка Стандартного Стиля"/>
|
<Item id="21101" name="Настройка Стандартного Стиля"/>
|
||||||
<Item id="21102" name="Стилистика"/>
|
<Item id="21102" name="Стилистика"/>
|
||||||
<Item id="21104" name="Временный сайт документации: "/>
|
<Item id="21104" name="Временный сайт документации: "/>
|
||||||
@ -470,7 +473,7 @@
|
|||||||
<Item id="22313" name="Префикс режим"/>
|
<Item id="22313" name="Префикс режим"/>
|
||||||
<Item id="22413" name="Префикс режим"/>
|
<Item id="22413" name="Префикс режим"/>
|
||||||
</Keywords>
|
</Keywords>
|
||||||
<Comment title="Ęîěěĺíňŕđčč č ×čńëŕ">
|
<Comment title="Комментарии &и Числа">
|
||||||
<Item id="23003" name="Положение линии комментариев"/>
|
<Item id="23003" name="Положение линии комментариев"/>
|
||||||
<Item id="23004" name="Разрешить где угодно"/>
|
<Item id="23004" name="Разрешить где угодно"/>
|
||||||
<Item id="23005" name="Разместить в начале строки"/>
|
<Item id="23005" name="Разместить в начале строки"/>
|
||||||
@ -500,7 +503,7 @@
|
|||||||
<Item id="23220" name="Стилистика"/>
|
<Item id="23220" name="Стилистика"/>
|
||||||
<Item id="23201" name="Числа"/>
|
<Item id="23201" name="Числа"/>
|
||||||
</Comment>
|
</Comment>
|
||||||
<Operator title="Îďĺđŕňîđű">
|
<Operator title="&Операторы и разделители">
|
||||||
<Item id="24113" name="Стилистика"/>
|
<Item id="24113" name="Стилистика"/>
|
||||||
<Item id="24116" name="Операторы 1"/>
|
<Item id="24116" name="Операторы 1"/>
|
||||||
<Item id="24117" name="Опер. 2 (треб. раздел.)"/>
|
<Item id="24117" name="Опер. 2 (треб. раздел.)"/>
|
||||||
|
@ -24,7 +24,7 @@
|
|||||||
<Item subMenuId="edit-convertCaseTo" name="Mayusculas/Minúsculas"/>
|
<Item subMenuId="edit-convertCaseTo" name="Mayusculas/Minúsculas"/>
|
||||||
<Item subMenuId="edit-lineOperations" name="Operaciones con líneas"/>
|
<Item subMenuId="edit-lineOperations" name="Operaciones con líneas"/>
|
||||||
<Item subMenuId="edit-comment" name="Comentarios"/>
|
<Item subMenuId="edit-comment" name="Comentarios"/>
|
||||||
<Item subMenuId="edit-autoCompletion" name="Auto-completar"/>
|
<Item subMenuId="edit-autorellenado" name="Auto-completar"/>
|
||||||
<Item subMenuId="edit-eolConversion" name="Conversión fín de línea"/>
|
<Item subMenuId="edit-eolConversion" name="Conversión fín de línea"/>
|
||||||
<Item subMenuId="edit-blankOperations" name="Operaciones de limpieza"/>
|
<Item subMenuId="edit-blankOperations" name="Operaciones de limpieza"/>
|
||||||
<Item subMenuId="edit-pasteSpecial" name="Pegado especial"/>
|
<Item subMenuId="edit-pasteSpecial" name="Pegado especial"/>
|
||||||
@ -44,17 +44,17 @@
|
|||||||
<Item subMenuId="encoding-baltic" name="Báltico"/>
|
<Item subMenuId="encoding-baltic" name="Báltico"/>
|
||||||
<Item subMenuId="encoding-celtic" name="Celta"/>
|
<Item subMenuId="encoding-celtic" name="Celta"/>
|
||||||
<Item subMenuId="encoding-cyrillic" name="Cirílico"/>
|
<Item subMenuId="encoding-cyrillic" name="Cirílico"/>
|
||||||
<Item subMenuId="encoding-centralEuropean" name="Europa central"/>
|
<Item subMenuId="encoding-centralEuropean" name="Europeo central"/>
|
||||||
<Item subMenuId="encoding-chinese" name="Chino"/>
|
<Item subMenuId="encoding-chinese" name="Chino"/>
|
||||||
<Item subMenuId="encoding-easternEuropean" name="Europa occidental"/>
|
<Item subMenuId="encoding-easternEuropean" name="Europeo occidental"/>
|
||||||
<Item subMenuId="encoding-greek" name="Griego"/>
|
<Item subMenuId="encoding-greek" name="Griego"/>
|
||||||
<Item subMenuId="encoding-hebrew" name="Hebreo"/>
|
<Item subMenuId="encoding-hebrew" name="Hebreo"/>
|
||||||
<Item subMenuId="encoding-japanese" name="Japonés"/>
|
<Item subMenuId="encoding-japanese" name="Japonés"/>
|
||||||
<Item subMenuId="encoding-korean" name="Coreano"/>
|
<Item subMenuId="encoding-korean" name="Coreano"/>
|
||||||
<Item subMenuId="encoding-northEuropean" name="Norte de Europa"/>
|
<Item subMenuId="encoding-northEuropean" name="Europeo del Norte"/>
|
||||||
<Item subMenuId="encoding-thai" name="Tailandés"/>
|
<Item subMenuId="encoding-thai" name="Tailandés"/>
|
||||||
<Item subMenuId="encoding-turkish" name="Turco"/>
|
<Item subMenuId="encoding-turkish" name="Turco"/>
|
||||||
<Item subMenuId="encoding-westernEuropean" name="Europea oriental"/>
|
<Item subMenuId="encoding-westernEuropean" name="Europeo oriental"/>
|
||||||
<Item subMenuId="encoding-vietnamese" name="Vietnamita"/>
|
<Item subMenuId="encoding-vietnamese" name="Vietnamita"/>
|
||||||
<Item subMenuId="settings-import" name="Importar"/>
|
<Item subMenuId="settings-import" name="Importar"/>
|
||||||
</SubEntries>
|
</SubEntries>
|
||||||
@ -84,38 +84,52 @@
|
|||||||
<Item id="42005" name="&Pegar"/>
|
<Item id="42005" name="&Pegar"/>
|
||||||
<Item id="42006" name="&Borrar"/>
|
<Item id="42006" name="&Borrar"/>
|
||||||
<Item id="42007" name="Seleccionar t&odo"/>
|
<Item id="42007" name="Seleccionar t&odo"/>
|
||||||
<Item id="42008" name="Incrementar tabulación"/>
|
<Item id="42020" name="Inicio/Fin selección"/>
|
||||||
<Item id="42009" name="Disminuir tabulación"/>
|
<Item id="42008" name="Aumentar guion de línea"/>
|
||||||
|
<Item id="42009" name="Reducir guion de línea"/>
|
||||||
<Item id="42010" name="Duplicar línea actual"/>
|
<Item id="42010" name="Duplicar línea actual"/>
|
||||||
<Item id="42012" name="Dividir líneas"/>
|
<Item id="42012" name="Dividir líneas"/>
|
||||||
<Item id="42013" name="Unir líneas"/>
|
<Item id="42013" name="Unir líneas"/>
|
||||||
<Item id="42014" name="Subir línea actual"/>
|
<Item id="42014" name="Subir línea corriente"/>
|
||||||
<Item id="42015" name="Bajar línea actual"/>
|
<Item id="42015" name="Bajar línea corriente"/>
|
||||||
<Item id="42016" name="Convertir a mayúsculas"/>
|
<Item id="42016" name="MAYÚSCULAS"/>
|
||||||
<Item id="42017" name="Convertir a minúsculas"/>
|
<Item id="42017" name="minúsculas"/>
|
||||||
<Item id="42018" name="&Iniciar grabación"/>
|
<Item id="42018" name="&Inicio de grabación"/>
|
||||||
<Item id="42019" name="&Detener grabación"/>
|
<Item id="42019" name="&Fin de grabación"/>
|
||||||
<Item id="42021" name="&Reproducir"/>
|
<Item id="42021" name="&Reproducir"/>
|
||||||
<Item id="42022" name="Des/Comentar"/>
|
<Item id="42022" name="Des/Comentar"/>
|
||||||
<Item id="42023" name="Comentario simple"/>
|
<Item id="42023" name="Comentario simple"/>
|
||||||
<Item id="42042" name="Quitar espacios al inicio"/>
|
|
||||||
<Item id="42043" name="Quitar espacios al inicio y al final"/>
|
|
||||||
<Item id="42044" name="Fin de línea > Espacio"/>
|
|
||||||
<Item id="42045" name="Quitar espacios no necesarios y finales de línea"/>
|
|
||||||
<Item id="42046" name="Tabulación > Espacio"/>
|
|
||||||
<Item id="42047" name="Espacio > Tabulación"/>
|
<Item id="42047" name="Espacio > Tabulación"/>
|
||||||
<Item id="42024" name="Quitar espacios al final"/>
|
<Item id="42024" name="Quitar espacios al final"/>
|
||||||
<Item id="42025" name="Guardar la macro grabada"/>
|
<Item id="42042" name="Cortar espacio al inicio"/>
|
||||||
<Item id="42026" name="Texto de Dcha. a Izqda."/>
|
<Item id="42043" name="Cortar espacios al inicio y al final"/>
|
||||||
<Item id="42027" name="Texto de Izqda. a Dcha."/>
|
<Item id="42044" name="Fin de linea a espacio"/>
|
||||||
<Item id="42028" name="Sólo lectura"/>
|
<Item id="42045" name="Eliminar espacios innecesarios"/>
|
||||||
<Item id="42029" name="Copiar ruta al portapapeles"/>
|
<Item id="42046" name="TAB a espacio"/>
|
||||||
<Item id="42030" name="Copiar nombre al portapapeles"/>
|
<Item id="42054" name="Espacio a TAB (todo)"/>
|
||||||
<Item id="42031" name="Copiar ruta al portapapeles"/>
|
<Item id="42053" name="Espacio a TAB (inicio)"/>
|
||||||
<Item id="42032" name="Ejecutar una macro varias veces..."/>
|
<Item id="42038" name="Pegar contenido HTML"/>
|
||||||
<Item id="42033" name="Quitar marca de Sólo lectura"/>
|
<Item id="42039" name="Pegar contenido RTF"/>
|
||||||
|
<Item id="42048" name="Copiar contenido binario"/>
|
||||||
|
<Item id="42049" name="Cortar contenido binario"/>
|
||||||
|
<Item id="42050" name="Pegar contenido binario"/>
|
||||||
|
<Item id="42037" name="Modo de columna..."/>
|
||||||
|
<Item id="42034" name="Editor de columna..."/>
|
||||||
|
<Item id="42051" name="Panel de caracteres"/>
|
||||||
|
<Item id="42052" name="Historial de portapapeles"/>
|
||||||
|
<Item id="42025" name="Guardar macro"/>
|
||||||
|
<Item id="42026" name="Texto derecha-izquierda"/>
|
||||||
|
<Item id="42027" name="Texto izquierda-derecha"/>
|
||||||
|
<Item id="42028" name="Solo lectura"/>
|
||||||
|
<Item id="42029" name="Enviar ruta actual a portapapeles"/>
|
||||||
|
<Item id="42030" name="Enviar nombre archivo a portapapeles"/>
|
||||||
|
<Item id="42031" name="Enviar ruta carpeta a portapapeles"/>
|
||||||
|
<Item id="42032" name="Ejecutar macro multiples veces..."/>
|
||||||
|
<Item id="42033" name="Desactivar solo lectura"/>
|
||||||
<Item id="42035" name="Comentar"/>
|
<Item id="42035" name="Comentar"/>
|
||||||
<Item id="42036" name="Quitar comentario"/>
|
<Item id="42036" name="No comentar"/>
|
||||||
|
<Item id="42055" name="Eliminar líneas vacías"/>
|
||||||
|
<Item id="42056" name="Eliminar líneas vacías (y contenido en blanco)"/>
|
||||||
<Item id="43001" name="&Buscar..."/>
|
<Item id="43001" name="&Buscar..."/>
|
||||||
<Item id="43002" name="Buscar &siguiente"/>
|
<Item id="43002" name="Buscar &siguiente"/>
|
||||||
<Item id="43003" name="Reemplazar..."/>
|
<Item id="43003" name="Reemplazar..."/>
|
||||||
@ -124,50 +138,51 @@
|
|||||||
<Item id="43006" name="Marcador siguiente"/>
|
<Item id="43006" name="Marcador siguiente"/>
|
||||||
<Item id="43007" name="Marcador anterior"/>
|
<Item id="43007" name="Marcador anterior"/>
|
||||||
<Item id="43008" name="Quitar todos los marcadores"/>
|
<Item id="43008" name="Quitar todos los marcadores"/>
|
||||||
<Item id="43009" name="Ir al delimitador asociado"/>
|
<Item id="43018" name="Cortar líneas marcada"/>
|
||||||
<Item id="43010" name="Buscar anterior"/>
|
|
||||||
<Item id="43011" name="&Búsqueda incremental"/>
|
|
||||||
<Item id="43013" name="Buscar en archivos"/>
|
|
||||||
<Item id="43014" name="Buscar siguiente (volátil)"/>
|
|
||||||
<Item id="43015" name="Buscar anterior (volátil)"/>
|
|
||||||
<Item id="43016" name="Marcar todas"/>
|
|
||||||
<Item id="43017" name="Desmarcar todas"/>
|
|
||||||
<Item id="43018" name="Cortar líneas marcadas"/>
|
|
||||||
<Item id="43019" name="Copiar líneas marcadas"/>
|
<Item id="43019" name="Copiar líneas marcadas"/>
|
||||||
<Item id="43020" name="Reemplazar líneas marcadas"/>
|
<Item id="43020" name="Reemplazar líneas marcadas"/>
|
||||||
<Item id="43021" name="Borrar líneas marcadas"/>
|
<Item id="43021" name="Borrar líneas marcadas"/>
|
||||||
<Item id="43022" name="Aplicar estilo 1"/>
|
<Item id="43051" name="Quitar líneas no marcadas"/>
|
||||||
<Item id="43023" name="Quitar estilo 1"/>
|
<Item id="43050" name="Invertir marcas"/>
|
||||||
<Item id="43024" name="Aplicar estilo 2"/>
|
<Item id="43052" name="Encontrar caracteres tipo..."/>
|
||||||
<Item id="43025" name="Quitar estilo 2"/>
|
<Item id="43009" name="Ir al delimitador simétrico"/>
|
||||||
<Item id="43026" name="Aplicar estilo 3"/>
|
<Item id="43010" name="Encontrar anterior"/>
|
||||||
<Item id="43027" name="Quitar estilo 3"/>
|
<Item id="43011" name="&Búsqueda incremental"/>
|
||||||
<Item id="43028" name="Aplicar estilo 4"/>
|
<Item id="43013" name="Encontrar en archivos"/>
|
||||||
<Item id="43029" name="Quitar estilo 4"/>
|
<Item id="43014" name="Búsqueda volátil siguiente"/>
|
||||||
<Item id="43030" name="Aplicar estilo 5"/>
|
<Item id="43015" name="Búsqueda volátil anterior"/>
|
||||||
<Item id="43031" name="Quitar estilo 5"/>
|
<Item id="43016" name="Marcar todo"/>
|
||||||
<Item id="43032" name="Quitar todos los estilos"/>
|
<Item id="43017" name="Desmarcar todo"/>
|
||||||
|
<Item id="43022" name="Aplicar el estilo 1"/>
|
||||||
|
<Item id="43023" name="No aplicar el estilo 1"/>
|
||||||
|
<Item id="43024" name="Aplicar el estilo 2"/>
|
||||||
|
<Item id="43025" name="No aplicar el estilo 2"/>
|
||||||
|
<Item id="43026" name="Aplicar el estilo 3"/>
|
||||||
|
<Item id="43027" name="No aplicar el estilo 3"/>
|
||||||
|
<Item id="43028" name="Aplicar el estilo 4"/>
|
||||||
|
<Item id="43029" name="No aplicar el estilo 4"/>
|
||||||
|
<Item id="43030" name="Aplicar el estilo 5"/>
|
||||||
|
<Item id="43031" name="No aplicar el estilo 5"/>
|
||||||
|
<Item id="43032" name="No aplicar ningún estilo"/>
|
||||||
<Item id="43033" name="Estilo 1"/>
|
<Item id="43033" name="Estilo 1"/>
|
||||||
<Item id="43034" name="Estilo 2"/>
|
<Item id="43034" name="Estilo 2"/>
|
||||||
<Item id="43035" name="Estilo 3"/>
|
<Item id="43035" name="Estilo 3"/>
|
||||||
<Item id="43036" name="Estilo 4"/>
|
<Item id="43036" name="Estilo 4"/>
|
||||||
<Item id="43037" name="Estilo 5"/>
|
<Item id="43037" name="Estilo 5"/>
|
||||||
<Item id="43038" name="Buscar estilo"/>
|
<Item id="43038" name="Encontrar estilo"/>
|
||||||
<Item id="43039" name="Estilo 1"/>
|
<Item id="43039" name="Estilo 1"/>
|
||||||
<Item id="43040" name="Estilo 2"/>
|
<Item id="43040" name="Estilo 2"/>
|
||||||
<Item id="43041" name="Estilo 3"/>
|
<Item id="43041" name="Estilo 3"/>
|
||||||
<Item id="43042" name="Estilo 4"/>
|
<Item id="43042" name="Estilo 4"/>
|
||||||
<Item id="43043" name="Estilo 5"/>
|
<Item id="43043" name="Estilo 5"/>
|
||||||
<Item id="43044" name="Buscar estilo"/>
|
<Item id="43044" name="Encontrar estilo"/>
|
||||||
<Item id="43045" name="Resultados de la búsqueda"/>
|
<Item id="43045" name="Ventana de resultados de búsqueda"/>
|
||||||
<Item id="43046" name="Resultado de la búsqueda siguiente"/>
|
<Item id="43046" name="Resultados de búsqueda siguiente"/>
|
||||||
<Item id="43047" name="Resultado de la búsqueda anterior"/>
|
<Item id="43047" name="Resultados de búsqueda previa"/>
|
||||||
<Item id="43048" name="Seleccionar y buscar siguiente"/>
|
<Item id="43048" name="Seleccionar y encontrar siguiente"/>
|
||||||
<Item id="43049" name="Seleccionar y buscar anterior"/>
|
<Item id="43049" name="Seleccionar y encontrar anterior"/>
|
||||||
<Item id="43050" name="Invertir marcadores"/>
|
|
||||||
<Item id="44009" name="Post-It"/>
|
<Item id="44009" name="Post-It"/>
|
||||||
<Item id="44010" name="Contraer todo"/>
|
<Item id="44010" name="Contraer todo"/>
|
||||||
<Item id="44011" name="Lenguaje definido por el usuario..."/>
|
|
||||||
<Item id="44019" name="Mostrar todos los caracteres"/>
|
<Item id="44019" name="Mostrar todos los caracteres"/>
|
||||||
<Item id="44020" name="Mostrar sangrías"/>
|
<Item id="44020" name="Mostrar sangrías"/>
|
||||||
<Item id="44022" name="Ajuste de línea"/>
|
<Item id="44022" name="Ajuste de línea"/>
|
||||||
@ -178,10 +193,12 @@
|
|||||||
<Item id="44029" name="Expandir todo"/>
|
<Item id="44029" name="Expandir todo"/>
|
||||||
<Item id="44030" name="Contraer nivel actual"/>
|
<Item id="44030" name="Contraer nivel actual"/>
|
||||||
<Item id="44031" name="Expandir nivel actual"/>
|
<Item id="44031" name="Expandir nivel actual"/>
|
||||||
<Item id="44032" name="Des/habilitar pantalla completa"/>
|
<Item id="44049" name="Resumen..."/>
|
||||||
|
<Item id="44080" name="Plano del documento"/>
|
||||||
|
<Item id="44084" name="Lista de funciones"/>
|
||||||
|
<Item id="44032" name="Habilitar/desh. pantalla completa"/>
|
||||||
<Item id="44033" name="Restaurar zoom por defecto"/>
|
<Item id="44033" name="Restaurar zoom por defecto"/>
|
||||||
<Item id="44034" name="Siempre visible"/>
|
<Item id="44034" name="Siempre visible"/>
|
||||||
<Item id="44049" name="Resumen..."/>
|
|
||||||
<Item id="44035" name="Sincronización vertical"/>
|
<Item id="44035" name="Sincronización vertical"/>
|
||||||
<Item id="44036" name="Sincronización horizontal"/>
|
<Item id="44036" name="Sincronización horizontal"/>
|
||||||
<Item id="44041" name="Mostrar símbolo de ajuste"/>
|
<Item id="44041" name="Mostrar símbolo de ajuste"/>
|
||||||
@ -207,6 +224,7 @@
|
|||||||
<Item id="10003" name="Mover a una ventana nueva"/>
|
<Item id="10003" name="Mover a una ventana nueva"/>
|
||||||
<Item id="10004" name="Abrir en una ventana nueva"/>
|
<Item id="10004" name="Abrir en una ventana nueva"/>
|
||||||
<Item id="46001" name="Configuración de estilo..."/>
|
<Item id="46001" name="Configuración de estilo..."/>
|
||||||
|
<Item id="46150" name="Definir idioma..."/>
|
||||||
<Item id="46080" name="Definido por el usuario"/>
|
<Item id="46080" name="Definido por el usuario"/>
|
||||||
<Item id="47000" name="Acerca de Notepad++..."/>
|
<Item id="47000" name="Acerca de Notepad++..."/>
|
||||||
<Item id="47001" name="Web de Notepad++"/>
|
<Item id="47001" name="Web de Notepad++"/>
|
||||||
@ -216,21 +234,21 @@
|
|||||||
<Item id="47005" name="Descargar más plugins"/>
|
<Item id="47005" name="Descargar más plugins"/>
|
||||||
<Item id="47006" name="Actualizar Notepad++"/>
|
<Item id="47006" name="Actualizar Notepad++"/>
|
||||||
<Item id="47008" name="Ayuda..."/>
|
<Item id="47008" name="Ayuda..."/>
|
||||||
|
<Item id="47009" name="Establecer Proxy de actualización..."/>
|
||||||
<Item id="48005" name="Importar plugin(s) ..."/>
|
<Item id="48005" name="Importar plugin(s) ..."/>
|
||||||
<Item id="48006" name="Importar tema(s) ..."/>
|
<Item id="48006" name="Importar tema(s) ..."/>
|
||||||
|
<Item id="48018" name="Editar menú contextual emergente"/>
|
||||||
<Item id="48009" name="Gestor de atajos de teclado..."/>
|
<Item id="48009" name="Gestor de atajos de teclado..."/>
|
||||||
<Item id="48011" name="Preferencias..."/>
|
<Item id="48011" name="Preferencias..."/>
|
||||||
<Item id="49000" name="&Ejecutar..."/>
|
<Item id="49000" name="&Ejecutar..."/>
|
||||||
<Item id="50000" name="Completar función"/>
|
<Item id="50000" name="Completar función"/>
|
||||||
<Item id="50001" name="Completar palabra"/>
|
<Item id="50001" name="Completar palabra"/>
|
||||||
<Item id="50002" name="Parámetros de la función"/>
|
<Item id="50002" name="Parámetros de la función"/>
|
||||||
<Item id="42034" name="Editor de columnas..."/>
|
|
||||||
<Item id="44042" name="Ocultar líneas"/>
|
<Item id="44042" name="Ocultar líneas"/>
|
||||||
<Item id="42040" name="Abrir todos los archivos recientes"/>
|
<Item id="42040" name="Abrir todos los archivos recientes"/>
|
||||||
<Item id="42041" name="Limpiar lista de archivos recientes"/>
|
<Item id="42041" name="Limpiar lista de archivos recientes"/>
|
||||||
<Item id="48016" name="Modificar atajo/Borrar macro..."/>
|
<Item id="48016" name="Modificar atajo/Borrar macro..."/>
|
||||||
<Item id="48017" name="Modificar atajo/Borrar comando..."/>
|
<Item id="48017" name="Modificar atajo/Borrar comando..."/>
|
||||||
<Item id="48018" name="Editar menú contextual emergente"/>
|
|
||||||
</Commands>
|
</Commands>
|
||||||
</Main>
|
</Main>
|
||||||
<Splitter/>
|
<Splitter/>
|
||||||
@ -252,6 +270,8 @@
|
|||||||
<Item CMID="14" name="Mover a nueva ventana"/>
|
<Item CMID="14" name="Mover a nueva ventana"/>
|
||||||
<Item CMID="15" name="Abrir en una nueva ventana"/>
|
<Item CMID="15" name="Abrir en una nueva ventana"/>
|
||||||
<Item CMID="16" name="Recargar"/>
|
<Item CMID="16" name="Recargar"/>
|
||||||
|
<Item CMID="17" name="Cerrar todo a la izquierda"/>
|
||||||
|
<Item CMID="18" name="Cerrar todo a la derecha"/>
|
||||||
</TabBar>
|
</TabBar>
|
||||||
</Menu>
|
</Menu>
|
||||||
<Dialog>
|
<Dialog>
|
||||||
@ -294,6 +314,7 @@
|
|||||||
<Item id="1661" name="Seguir archivo actual"/>
|
<Item id="1661" name="Seguir archivo actual"/>
|
||||||
<Item id="1641" name="Buscar solo en el archivo actual"/>
|
<Item id="1641" name="Buscar solo en el archivo actual"/>
|
||||||
<Item id="1686" name="Transparencia"/>
|
<Item id="1686" name="Transparencia"/>
|
||||||
|
<Item id="1703" name="&. matches newline"/>
|
||||||
</Find>
|
</Find>
|
||||||
<GoToLine title="Ir a línea...">
|
<GoToLine title="Ir a línea...">
|
||||||
<Item id="2007" name="Línea"/>
|
<Item id="2007" name="Línea"/>
|
||||||
@ -322,6 +343,7 @@
|
|||||||
<Item id="2207" name="Color de fondo"/>
|
<Item id="2207" name="Color de fondo"/>
|
||||||
<Item id="2208" name="Fuente:"/>
|
<Item id="2208" name="Fuente:"/>
|
||||||
<Item id="2209" name="Tamaño:"/>
|
<Item id="2209" name="Tamaño:"/>
|
||||||
|
<Item id="2211" name="Style:"/>
|
||||||
<Item id="2212" name="Color"/>
|
<Item id="2212" name="Color"/>
|
||||||
<Item id="2213" name="Tipografía"/>
|
<Item id="2213" name="Tipografía"/>
|
||||||
<Item id="2214" name="Ext. predet.:"/>
|
<Item id="2214" name="Ext. predet.:"/>
|
||||||
@ -340,6 +362,7 @@
|
|||||||
</SubDialog>
|
</SubDialog>
|
||||||
</StyleConfig>
|
</StyleConfig>
|
||||||
<UserDefine title="User-Defined">
|
<UserDefine title="User-Defined">
|
||||||
|
<Item id="20001" name="Dock"/>
|
||||||
<Item id="20002" name="Renombrar"/>
|
<Item id="20002" name="Renombrar"/>
|
||||||
<Item id="20003" name="Crear nuevo..."/>
|
<Item id="20003" name="Crear nuevo..."/>
|
||||||
<Item id="20004" name="Eliminar"/>
|
<Item id="20004" name="Eliminar"/>
|
||||||
@ -385,37 +408,125 @@
|
|||||||
<Folder title="Folder && Default">
|
<Folder title="Folder && Default">
|
||||||
<Item id="21101" name="Estilo por defecto"/>
|
<Item id="21101" name="Estilo por defecto"/>
|
||||||
<Item id="21201" name="Ajustes apertura archivo"/>
|
<Item id="21201" name="Ajustes apertura archivo"/>
|
||||||
<Item id="21301" name="Ajustes cierre archivo"/>
|
<Item id="21105" name="Documentación:"/>
|
||||||
|
<Item id="21104" name="Sitio web temporal:"/>
|
||||||
|
<Item id="21106" name="Compactar el relieve (líneas vacías también)"/>
|
||||||
|
<Item id="21220" name="Relieve sintáctico modo 1:"/>
|
||||||
|
<Item id="21224" name="Abriendo:"/>
|
||||||
|
<Item id="21225" name="Medio:"/>
|
||||||
|
<Item id="21226" name="Cerrando:"/>
|
||||||
|
<Item id="21227" name="Estilo"/>
|
||||||
|
<Item id="21320" name="Relieve sintáctico modo 2(necesarios separadores):"/>
|
||||||
|
<Item id="21324" name="Abriendo:"/>
|
||||||
|
<Item id="21325" name="Medio:"/>
|
||||||
|
<Item id="21326" name="Cerrando:"/>
|
||||||
|
<Item id="21327" name="Estilo"/>
|
||||||
|
<Item id="21420" name="Relieve sintáctico modo comentario:"/>
|
||||||
|
<Item id="21424" name="Abriendo:"/>
|
||||||
|
<Item id="21425" name="Medio:"/>
|
||||||
|
<Item id="21426" name="Cerrando:"/>
|
||||||
|
<Item id="21127" name="Estilo"/>
|
||||||
</Folder>
|
</Folder>
|
||||||
<Keywords title="Listas de palabras clave">
|
<Keywords title="Listas de palabras clave">
|
||||||
<Item id="22101" name="1º Grupo"/>
|
<Item id="22101" name="1º Grupo"/>
|
||||||
<Item id="22201" name="2º Grupo"/>
|
<Item id="22201" name="2º Grupo"/>
|
||||||
<Item id="22301" name="3º Grupo"/>
|
<Item id="22301" name="3º Grupo"/>
|
||||||
<Item id="22401" name="4º Grupo"/>
|
<Item id="22401" name="4º Grupo"/>
|
||||||
<Item id="22113" name="Modo prefijo"/>
|
<Item id="22451" name="5º Grupo"/>
|
||||||
<Item id="22213" name="Modo prefijo"/>
|
<Item id="22501" name="6º Grupo"/>
|
||||||
<Item id="22313" name="Modo prefijo"/>
|
<Item id="22551" name="7º Grupo"/>
|
||||||
<Item id="22413" name="Modo prefijo"/>
|
<Item id="22601" name="8º Grupo"/>
|
||||||
|
<Item id="22121" name="Modo prefijo"/>
|
||||||
|
<Item id="22221" name="Modo prefijo"/>
|
||||||
|
<Item id="22321" name="Modo prefijo"/>
|
||||||
|
<Item id="22421" name="Modo prefijo"/>
|
||||||
|
<Item id="22471" name="Modo prefijo"/>
|
||||||
|
<Item id="22521" name="Modo prefijo"/>
|
||||||
|
<Item id="22571" name="Modo prefijo"/>
|
||||||
|
<Item id="22621" name="Modo prefijo"/>
|
||||||
|
<Item id="22122" name="Estilo"/>
|
||||||
|
<Item id="22222" name="Estilo"/>
|
||||||
|
<Item id="22322" name="Estilo"/>
|
||||||
|
<Item id="22422" name="Estilo"/>
|
||||||
|
<Item id="22472" name="Estilo"/>
|
||||||
|
<Item id="22522" name="Estilo"/>
|
||||||
|
<Item id="22572" name="Estilo"/>
|
||||||
|
<Item id="22622" name="Estilo"/>
|
||||||
</Keywords>
|
</Keywords>
|
||||||
<Comment title="Comentarios && números">
|
<Comment title="Comentarios && números">
|
||||||
<Item id="23301" name="Comentario de línea"/>
|
<Item id="23003" name="Posición de comentario en la línea"/>
|
||||||
<Item id="23101" name="Comentario de bloque"/>
|
<Item id="23004" name="Sin límite"/>
|
||||||
<Item id="23113" name="Abrir comentario:"/>
|
<Item id="23005" name="Siempre al inicio de línea"/>
|
||||||
<Item id="23115" name="Cerrar comentario:"/>
|
<Item id="23006" name="Permitir espacio libre anterior"/>
|
||||||
<Item id="23116" name="Tratar palabra clave como símbolo"/>
|
<Item id="23001" name="Permitir plegado de comentarios"/>
|
||||||
<Item id="23117" name="Tratar palabras clave como símbolos"/>
|
<Item id="23326" name="Estilo"/>
|
||||||
<Item id="23201" name="Número"/>
|
<Item id="23323" name="Abrir"/>
|
||||||
|
<Item id="23324" name="Continuación"/>
|
||||||
|
<Item id="23325" name="Cerrar"/>
|
||||||
|
<Item id="23301" name="Estilo línea comentario"/>
|
||||||
|
<Item id="23124" name="Estilo"/>
|
||||||
|
<Item id="23122" name="Abrir"/>
|
||||||
|
<Item id="23123" name="Cerrar"/>
|
||||||
|
<Item id="23101" name="Estilo comentario"/>
|
||||||
|
<Item id="23201" name="Estílo numérico"/>
|
||||||
|
<Item id="23220" name="Estilo"/>
|
||||||
|
<Item id="23230" name="Prefijo 1"/>
|
||||||
|
<Item id="23232" name="Prefijo 2"/>
|
||||||
|
<Item id="23234" name="Extras 1"/>
|
||||||
|
<Item id="23236" name="Extras 2"/>
|
||||||
|
<Item id="23238" name="Sufijo 1"/>
|
||||||
|
<Item id="23240" name="Sufijo 2"/>
|
||||||
|
<Item id="23242" name="Rango:"/>
|
||||||
|
<Item id="23244" name="Separador decimal"/>
|
||||||
|
<Item id="23245" name="Punto"/>
|
||||||
|
<Item id="23246" name="Coma"/>
|
||||||
|
<Item id="23247" name="Ambos"/>
|
||||||
</Comment>
|
</Comment>
|
||||||
<Operator title="Operadores">
|
<Operator title="Operadores">
|
||||||
<Item id="24107" name="Operador"/>
|
<Item id="24101" name="Estilo operadores"/>
|
||||||
<Item id="24103" name="Símbolos disponibles"/>
|
<Item id="24113" name="Estilo"/>
|
||||||
<Item id="24101" name="Operadores activados"/>
|
<Item id="24116" name="Operadores 1"/>
|
||||||
|
<Item id="24117" name="Operadores 2 (necesarios separadores)"/>
|
||||||
<Item id="24201" name="Delimitador 1"/>
|
<Item id="24201" name="Delimitador 1"/>
|
||||||
<Item id="24211" name="Límite abierto:"/>
|
<Item id="24220" name="Abrir:"/>
|
||||||
<Item id="24214" name="Límite cerrado:"/>
|
<Item id="24221" name="Escape:"/>
|
||||||
<Item id="24301" name="Delimitador 2"/>
|
<Item id="24222" name="Cerrar:"/>
|
||||||
<Item id="24311" name="Límite abierto:"/>
|
<Item id="24223" name="Estilo"/>
|
||||||
<Item id="24314" name="Límite cerrado:"/>
|
<Item id="24301" name="Estilo delimitador 2"/>
|
||||||
|
<Item id="24320" name="Abrir:"/>
|
||||||
|
<Item id="24321" name="Escape:"/>
|
||||||
|
<Item id="24322" name="Cerrar:"/>
|
||||||
|
<Item id="24323" name="Estilo"/>
|
||||||
|
<Item id="24401" name="Estilo delimitador 3"/>
|
||||||
|
<Item id="24420" name="Abrir:"/>
|
||||||
|
<Item id="24421" name="Escape:"/>
|
||||||
|
<Item id="24422" name="Cerrar:"/>
|
||||||
|
<Item id="24423" name="Estilo"/>
|
||||||
|
<Item id="24451" name="Estilo delimitador 4"/>
|
||||||
|
<Item id="24470" name="Abrir:"/>
|
||||||
|
<Item id="24471" name="Escape:"/>
|
||||||
|
<Item id="24472" name="Cerrar:"/>
|
||||||
|
<Item id="24473" name="Estilo"/>
|
||||||
|
<Item id="24501" name="Estilo delimitador 5"/>
|
||||||
|
<Item id="24520" name="Abrir:"/>
|
||||||
|
<Item id="24521" name="Escape:"/>
|
||||||
|
<Item id="24522" name="Cerrar:"/>
|
||||||
|
<Item id="24523" name="Estilo"/>
|
||||||
|
<Item id="24551" name="Estilo delimitador 6"/>
|
||||||
|
<Item id="24570" name="Abrir:"/>
|
||||||
|
<Item id="24571" name="Escape:"/>
|
||||||
|
<Item id="24572" name="Cerrar:"/>
|
||||||
|
<Item id="24573" name="Estilo"/>
|
||||||
|
<Item id="24601" name="Estilo delimitador 7"/>
|
||||||
|
<Item id="24620" name="Abrir:"/>
|
||||||
|
<Item id="24621" name="Escape:"/>
|
||||||
|
<Item id="24622" name="Cerrar:"/>
|
||||||
|
<Item id="24623" name="Estilo"/>
|
||||||
|
<Item id="24651" name="Estilo delimitador 8"/>
|
||||||
|
<Item id="24670" name="Abrir:"/>
|
||||||
|
<Item id="24671" name="Escape:"/>
|
||||||
|
<Item id="24672" name="Cerrar:"/>
|
||||||
|
<Item id="24673" name="Estilo"/>
|
||||||
</Operator>
|
</Operator>
|
||||||
<Item id="24001" name="Habilitar carácter de escape:"/>
|
<Item id="24001" name="Habilitar carácter de escape:"/>
|
||||||
</UserDefine>
|
</UserDefine>
|
||||||
@ -441,6 +552,8 @@
|
|||||||
<Item id="6121" name="Barra de menú"/>
|
<Item id="6121" name="Barra de menú"/>
|
||||||
<Item id="6122" name="Ocultar (Alt o F10 para des/activar)"/>
|
<Item id="6122" name="Ocultar (Alt o F10 para des/activar)"/>
|
||||||
<Item id="6123" name="Localización"/>
|
<Item id="6123" name="Localización"/>
|
||||||
|
<Item id="6125" name="Panel lista de documentos"/>
|
||||||
|
<Item id="6126" name="Mostrar"/>
|
||||||
</Global>
|
</Global>
|
||||||
<Scintillas title="Edición">
|
<Scintillas title="Edición">
|
||||||
<Item id="6216" name="Configuración del cursor"/>
|
<Item id="6216" name="Configuración del cursor"/>
|
||||||
@ -468,6 +581,7 @@
|
|||||||
<Item id="6212" name="Modo lineal"/>
|
<Item id="6212" name="Modo lineal"/>
|
||||||
<Item id="6213" name="Modo de fondo"/>
|
<Item id="6213" name="Modo de fondo"/>
|
||||||
<Item id="6214" name="Resaltar la línea activa"/>
|
<Item id="6214" name="Resaltar la línea activa"/>
|
||||||
|
<Item id="6231" name="Ancho de borde"/>
|
||||||
</Scintillas>
|
</Scintillas>
|
||||||
<NewDoc title="Archivo nuevo/Carpeta predeterminada">
|
<NewDoc title="Archivo nuevo/Carpeta predeterminada">
|
||||||
<Item id="6401" name="Formato"/>
|
<Item id="6401" name="Formato"/>
|
||||||
@ -481,26 +595,30 @@
|
|||||||
<Item id="6409" name="UCS-2 Big Endian"/>
|
<Item id="6409" name="UCS-2 Big Endian"/>
|
||||||
<Item id="6410" name="UCS-2 Little Endian"/>
|
<Item id="6410" name="UCS-2 Little Endian"/>
|
||||||
<Item id="6411" name="Lenguaje predeterminado:"/>
|
<Item id="6411" name="Lenguaje predeterminado:"/>
|
||||||
<Item id="6413" name="Carpeta predeterminada (Abrir/Guardar)"/>
|
|
||||||
<Item id="6414" name="Usar la misma en la que se encuentra el archivo"/>
|
|
||||||
<Item id="6415" name="Recordar la última carpeta usada"/>
|
|
||||||
<Item id="6419" name="Archivo nuevo"/>
|
<Item id="6419" name="Archivo nuevo"/>
|
||||||
<Item id="6420" name="Aplicar a los archivos ANSI abiertos"/>
|
<Item id="6420" name="Aplicar a los archivos ANSI abiertos"/>
|
||||||
</NewDoc>
|
</NewDoc>
|
||||||
|
<DefaultDir title="Carpeta por defecto">
|
||||||
|
<Item id="6413" name="Carpeta por defecto (Abrir/Guardar)"/>
|
||||||
|
<Item id="6414" name="Seguir documento actual"/>
|
||||||
|
<Item id="6415" name="Recordar última carpeta"/>
|
||||||
|
</DefaultDir>
|
||||||
<FileAssoc title="Asociación de archivos">
|
<FileAssoc title="Asociación de archivos">
|
||||||
<Item id="4009" name="Ext. soportadas:"/>
|
<Item id="4009" name="Ext. soportadas:"/>
|
||||||
<Item id="4010" name="Ext. asociadas:"/>
|
<Item id="4010" name="Ext. asociadas:"/>
|
||||||
</FileAssoc>
|
</FileAssoc>
|
||||||
<LangMenu title="Menú de Lenguaje/Ajustes de pestañas">
|
<LangMenu title="Menú de Lenguaje/Ajustes de pestañas">
|
||||||
<Item id="6301" name="Ajustes de pestañas"/>
|
|
||||||
<Item id="6302" name="Reemplazar por espacio"/>
|
|
||||||
<Item id="6303" name="Tamaño: "/>
|
|
||||||
<Item id="6505" name="Disponibles"/>
|
<Item id="6505" name="Disponibles"/>
|
||||||
<Item id="6506" name="Desactivados"/>
|
<Item id="6506" name="Desactivados"/>
|
||||||
<Item id="6507" name="Compactar el menú de Lenguaje"/>
|
<Item id="6507" name="Compactar el menú de Lenguaje"/>
|
||||||
<Item id="6508" name="Menú de Lenguaje"/>
|
<Item id="6508" name="Menú de Lenguaje"/>
|
||||||
<Item id="6510" name="Utilizar predeterminado"/>
|
|
||||||
</LangMenu>
|
</LangMenu>
|
||||||
|
<TabSettings title="Ajuste de pestañas">
|
||||||
|
<Item id="6301" name="Ajuste de pestañas"/>
|
||||||
|
<Item id="6302" name="Sustituir por espacio"/>
|
||||||
|
<Item id="6303" name="Tamaño pestaña: "/>
|
||||||
|
<Item id="6510" name="Usar valor por defecto"/>
|
||||||
|
</TabSettings>
|
||||||
<Print title="Imprimir">
|
<Print title="Imprimir">
|
||||||
<Item id="6601" name="Imprimir número de línea"/>
|
<Item id="6601" name="Imprimir número de línea"/>
|
||||||
<Item id="6602" name="Opciones de color"/>
|
<Item id="6602" name="Opciones de color"/>
|
||||||
@ -527,12 +645,20 @@
|
|||||||
<Item id="6722" name="Parte derecha"/>
|
<Item id="6722" name="Parte derecha"/>
|
||||||
<Item id="6723" name="Añadir"/>
|
<Item id="6723" name="Añadir"/>
|
||||||
<Item id="6725" name="Variable:"/>
|
<Item id="6725" name="Variable:"/>
|
||||||
|
<Item id="6727" name="Mostrar sus ajustes variables"/>
|
||||||
<Item id="6728" name="Cabecera y pie de página"/>
|
<Item id="6728" name="Cabecera y pie de página"/>
|
||||||
</Print>
|
</Print>
|
||||||
<MISC title="Otros ajustes">
|
<RecentFilesHistory title="Historial de archivos recientes">
|
||||||
<Item id="6304" name="Historial de archivos recientes"/>
|
<Item id="6304" name="Historial de archivos recientes"/>
|
||||||
<Item id="6305" name="No comprobar al iniciar"/>
|
<Item id="6306" name="Maximo núm. entradas:"/>
|
||||||
<Item id="6306" name="Número máximo de archivos:"/>
|
<Item id="6305" name="No comprobar al inicio"/>
|
||||||
|
<Item id="6429" name="Mostrar"/>
|
||||||
|
<Item id="6424" name="en submenu"/>
|
||||||
|
<Item id="6425" name="Solo nombre archivo"/>
|
||||||
|
<Item id="6426" name="Ruta completa archivo"/>
|
||||||
|
<Item id="6427" name="Ajustar longitud máxima:"/>
|
||||||
|
</RecentFilesHistory>
|
||||||
|
<MISC title="Otros ajustes">
|
||||||
<Item id="6307" name="Habilitar"/>
|
<Item id="6307" name="Habilitar"/>
|
||||||
<Item id="6308" name="Minimizar a la bandeja del sistema"/>
|
<Item id="6308" name="Minimizar a la bandeja del sistema"/>
|
||||||
<Item id="6309" name="Recordar sesión actual en el próximo inicio"/>
|
<Item id="6309" name="Recordar sesión actual en el próximo inicio"/>
|
||||||
@ -562,15 +688,17 @@
|
|||||||
<Item id="6317" name="Copia detallada"/>
|
<Item id="6317" name="Copia detallada"/>
|
||||||
<Item id="6804" name="Carpeta para copia de seguridad personalizada"/>
|
<Item id="6804" name="Carpeta para copia de seguridad personalizada"/>
|
||||||
<Item id="6803" name="Carpeta:"/>
|
<Item id="6803" name="Carpeta:"/>
|
||||||
<Item id="6807" name="Autocompletar"/>
|
|
||||||
<Item id="6808" name="Habilitar autocompletar en cada entrada"/>
|
|
||||||
<Item id="6809" name="Completar función"/>
|
|
||||||
<Item id="6810" name="Completar palabra"/>
|
|
||||||
<Item id="6811" name="Desde"/>
|
|
||||||
<Item id="6813" name="caracteres"/>
|
|
||||||
<Item id="6814" name="Valores válidos: 1 - 9"/>
|
|
||||||
<Item id="6815" name="Pistas para los parámetros de la función"/>
|
|
||||||
</Backup>
|
</Backup>
|
||||||
|
<Autorellenado title="Auto-rellenado">
|
||||||
|
<Item id="6807" name="Auto-rellenado"/>
|
||||||
|
<Item id="6808" name="Permitir auto-rellenado siempre"/>
|
||||||
|
<Item id="6809" name="Rellenado de función"/>
|
||||||
|
<Item id="6810" name="Rellenado de palabra"/>
|
||||||
|
<Item id="6811" name="Desde"/>
|
||||||
|
<Item id="6813" name="º carácter"/>
|
||||||
|
<Item id="6814" name="Valor válido: 1 - 9"/>
|
||||||
|
<Item id="6815" name="Parámetros de función en entrada"/>
|
||||||
|
</Autorellenado>
|
||||||
</Preference>
|
</Preference>
|
||||||
<MultiMacro title="Ejecución múltiple de macro">
|
<MultiMacro title="Ejecución múltiple de macro">
|
||||||
<Item id="1" name="Ejecutar"/>
|
<Item id="1" name="Ejecutar"/>
|
||||||
|
@ -28,10 +28,10 @@
|
|||||||
; Define the application name
|
; Define the application name
|
||||||
!define APPNAME "Notepad++"
|
!define APPNAME "Notepad++"
|
||||||
|
|
||||||
!define APPVERSION "6.4.5"
|
!define APPVERSION "6.5"
|
||||||
!define APPNAMEANDVERSION "${APPNAME} v${APPVERSION}"
|
!define APPNAMEANDVERSION "${APPNAME} v${APPVERSION}"
|
||||||
!define VERSION_MAJOR 6
|
!define VERSION_MAJOR 6
|
||||||
!define VERSION_MINOR 45
|
!define VERSION_MINOR 5
|
||||||
|
|
||||||
!define APPWEBSITE "http://notepad-plus-plus.org/"
|
!define APPWEBSITE "http://notepad-plus-plus.org/"
|
||||||
|
|
||||||
|
@ -5216,7 +5216,7 @@ struct Quote{
|
|||||||
const char *_quote;
|
const char *_quote;
|
||||||
};
|
};
|
||||||
|
|
||||||
const int nbQuote = 151;
|
const int nbQuote = 155;
|
||||||
Quote quotes[nbQuote] = {
|
Quote quotes[nbQuote] = {
|
||||||
{"Notepad++", "Good programmers use Notepad++ to code.\nExtreme programmers use MS Word to code, in Comic Sans, center aligned."},
|
{"Notepad++", "Good programmers use Notepad++ to code.\nExtreme programmers use MS Word to code, in Comic Sans, center aligned."},
|
||||||
{"Martin Golding", "Always code as if the guy who ends up maintaining your code will be a violent psychopath who knows where you live."},
|
{"Martin Golding", "Always code as if the guy who ends up maintaining your code will be a violent psychopath who knows where you live."},
|
||||||
@ -5358,6 +5358,10 @@ Quote quotes[nbQuote] = {
|
|||||||
{"Anonymous #107", "Remember, YOUR God is real.\nAll those other Gods are ridiculous, made-up nonsense.\nBut not yours.\nYour God is real. Whichever one that is."},
|
{"Anonymous #107", "Remember, YOUR God is real.\nAll those other Gods are ridiculous, made-up nonsense.\nBut not yours.\nYour God is real. Whichever one that is."},
|
||||||
{"Anonymous #108", "I hope Bruce Willis dies of a Viagra overdose,\nThe way you can see the headline:\nBruce Willis, Died Hard"},
|
{"Anonymous #108", "I hope Bruce Willis dies of a Viagra overdose,\nThe way you can see the headline:\nBruce Willis, Died Hard"},
|
||||||
{"Anonymous #109", "If you ever wanna know what a woman's mind feels like?\nImagine a browser with 2857 tabs open, all the time."},
|
{"Anonymous #109", "If you ever wanna know what a woman's mind feels like?\nImagine a browser with 2857 tabs open, all the time."},
|
||||||
|
{"Anonymous #110", "A programmer had a problem, so he decided to use threads.\nNow 2 has. He problems."},
|
||||||
|
{"Anonymous #111", "I love how the internet has improved people's grammar far more than any English teacher has.\nIf you write \"your\" instead of \"you're\" in English class, all you get is a red mark.\nMess up on the internet, and may God have mercy on your soul."},
|
||||||
|
{"Anonymous #112", "#hulk {\n height: 200%;\n width: 200%;\n color: green;\n}"},
|
||||||
|
{"Anonymous #113", "Open source is communism.\nAt least it is what communism was meant to be."},
|
||||||
{"Barack Obama", "Yes, we scan!"},
|
{"Barack Obama", "Yes, we scan!"},
|
||||||
{"George W. Bush", "Where is my Nobel prize?\nI bombed people too."},
|
{"George W. Bush", "Where is my Nobel prize?\nI bombed people too."},
|
||||||
{"Gandhi", "Earth provides enough to satisfy every man's need, but not every man's greed."},
|
{"Gandhi", "Earth provides enough to satisfy every man's need, but not every man's greed."},
|
||||||
|
@ -170,30 +170,37 @@
|
|||||||
</function>
|
</function>
|
||||||
</parser>
|
</parser>
|
||||||
|
|
||||||
<parser id="php_function" displayName="PHP source" commentExpr="((/\*.*?\*)/|(//.*?$))">
|
<parser id="php_function" displayName="PHP" commentExpr="((/\*.*?\*)/|(//.*?$))">
|
||||||
<classRange mainExpr="^[\t ]*(((class|interface)[\t ]+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*[\t ]+((extends|implements)[\t ]+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*)?)|(trait[\t ]+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*))\s*\{"
|
<classRange
|
||||||
openSymbole="\{"
|
mainExpr="^[\t ]*(class|struct|abstract[\t ]+class)[\t ]+[\w]+([\s]*|[\s\t]*(extends|implement)[\t ]+[\w]+[\s]*)?\{"
|
||||||
closeSymbole="\}"
|
openSymbole = "\{"
|
||||||
|
closeSymbole = "\}"
|
||||||
displayMode="node">
|
displayMode="node">
|
||||||
<className>
|
<className>
|
||||||
<nameExpr expr="(class[\t ]+|interface[\t ]+|trait[\t ]+)[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*"/>
|
<nameExpr expr="(class|struct|abstract[\t ]+class)[\t ]+[\w]+"/>
|
||||||
<nameExpr expr="[\t ]+[\w]+"/>
|
<nameExpr expr="[\t ]+[\w]+\Z"/>
|
||||||
<nameExpr expr="[\w]+"/>
|
<nameExpr expr="[\w]+\Z"/>
|
||||||
</className>
|
</className>
|
||||||
<function mainExpr="^[\t ]*(public[\t ]+|protected[\t ]+|private[\t ]+)?(static[\t ]+)?function[\s]+&?[\t ]*([a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*\([^\)\(]*\)|\([^\)\(]*\))[\n\s]*\{">
|
<function
|
||||||
|
mainExpr="^[\t ]*((static|public|protected|abstract|private|private[\s]+static|public[\s]+static|protected[\s]+static)[\s]+)?(function[\s]+)+([\w]+([\s]+[\w]+)?([\s]+|\*[\s]+|[\s]+\*|[\s]+\*[\s]+))?([\w_]+[\s]*::)?(?!(if|while|for|switch))[\w_~]+[\s]*\([^\{]*\{">
|
||||||
<functionName>
|
<functionName>
|
||||||
<funcNameExpr expr="function[\s]+&?[\t ]*[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*"/>
|
<funcNameExpr expr="(?!(if|while|for|switch))[\w_~]+[\s]*\([^\{]*"/>
|
||||||
<funcNameExpr expr="[\s]+&?[\w]+"/>
|
<!-- comment below node if want display method with parmas -->
|
||||||
<funcNameExpr expr="[^&\s]+"/>
|
<funcNameExpr expr="(?!(if|while|for|switch))[\w_~]+"/>
|
||||||
</functionName>
|
</functionName>
|
||||||
</function>
|
</function>
|
||||||
</classRange>
|
</classRange>
|
||||||
<function mainExpr="^[\t ]*function[\s]+&?[\t ]*([a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*\([^\)\(]*\)|\([^\)\(]*\))[\n\s]*\{"
|
<function
|
||||||
|
mainExpr="^[\t ]*function[\s]+\w+\("
|
||||||
|
|
||||||
displayMode="$className->$functionName">
|
displayMode="$className->$functionName">
|
||||||
<functionName>
|
<functionName>
|
||||||
<nameExpr expr="(?!(if|while|for))[\w_]+[\s]*\("/>
|
<nameExpr expr="(?!(if|while|for))[\w_]+[\s]*\("/>
|
||||||
<nameExpr expr="(?!(if|while|for))[\w_]+"/>
|
<nameExpr expr="(?!(if|while|for))[\w_]+"/>
|
||||||
</functionName>
|
</functionName>
|
||||||
|
<className>
|
||||||
|
<nameExpr expr="[\w_]+(?=[\s]*::)"/>
|
||||||
|
</className>
|
||||||
</function>
|
</function>
|
||||||
</parser>
|
</parser>
|
||||||
|
|
||||||
|
@ -205,10 +205,9 @@
|
|||||||
<Language name="vb" ext="vb vbs" commentLine="REM" commentStart="" commentEnd="">
|
<Language name="vb" ext="vb vbs" commentLine="REM" commentStart="" commentEnd="">
|
||||||
<Keywords name="instre1">addhandler addressof andalso alias and ansi as assembly attribute auto begin boolean byref byte byval call case catch cbool cbyte cchar cdate cdec cdbl char cint class clng cobj compare const continue cshort csng cstr ctype currency date decimal declare default delegate dim do double each else elseif end enum erase error event exit explicit false finally for friend function get gettype global gosub goto handles if implement implements imports in inherits integer interface is let lib like load long loop lset me mid mod module mustinherit mustoverride mybase myclass namespace new next not nothing notinheritable notoverridable object on option optional or orelse overloads overridable overrides paramarray preserve private property protected public raiseevent readonly redim rem removehandler rset resume return select set shadows shared short single static step stop string structure sub synclock then throw to true try type typeof unload unicode until variant wend when while with withevents writeonly xor</Keywords>
|
<Keywords name="instre1">addhandler addressof andalso alias and ansi as assembly attribute auto begin boolean byref byte byval call case catch cbool cbyte cchar cdate cdec cdbl char cint class clng cobj compare const continue cshort csng cstr ctype currency date decimal declare default delegate dim do double each else elseif end enum erase error event exit explicit false finally for friend function get gettype global gosub goto handles if implement implements imports in inherits integer interface is let lib like load long loop lset me mid mod module mustinherit mustoverride mybase myclass namespace new next not nothing notinheritable notoverridable object on option optional or orelse overloads overridable overrides paramarray preserve private property protected public raiseevent readonly redim rem removehandler rset resume return select set shadows shared short single static step stop string structure sub synclock then throw to true try type typeof unload unicode until variant wend when while with withevents writeonly xor</Keywords>
|
||||||
</Language>
|
</Language>
|
||||||
<Language name="verilog" ext="v" commentLine="//" commentStart="/*" commentEnd="*/">
|
<Language name="verilog" ext="v sv vh svh" commentLine="//" commentStart="/*" commentEnd="*/">
|
||||||
<Keywords name="instre1">always and assign attribute begin buf bufif0 bufif1 case casex casez cmos deassign default defparam disable edge else end endattribute endcase endfunction endmodule endprimitive endspecify endtable endtask event for force forever fork function highz0 highz1 if ifnone initial inout input integer join medium module large localparam macromodule nand negedge nmos nor not notif0 notif1 or output parameter pmos posedge primitive pull0 pull1 pulldown pullup rcmos real realtime reg release repeat rnmos rpmos rtran rtranif0 rtranif1 scalared signed small specify specparam strength strong0 strong1 supply0 supply1 table task time tran tranif0 tranif1 tri tri0 tri1 triand trior trireg unsigned vectored wait wand weak0 weak1 while wire wor xnor xor</Keywords>
|
<Keywords name="instre1">always and assign attribute begin buf bufif0 bufif1 case casex casez cmos deassign default defparam disable edge else end endattribute endcase endfunction endmodule endprimitive endspecify endtable endtask event for force forever fork function highz0 highz1 if ifnone initial inout input integer join medium module large localparam macromodule nand negedge nmos nor not notif0 notif1 or output parameter pmos posedge primitive pull0 pull1 pulldown pullup rcmos real realtime reg release repeat rnmos rpmos rtran rtranif0 rtranif1 scalared signed small specify specparam strength strong0 strong1 supply0 supply1 table task time tran tranif0 tranif1 tri tri0 tri1 triand trior trireg unsigned vectored wait wand weak0 weak1 while wire wor xnor xor alias always_comb always_ff always_latch assert assume automatic before bind bins binsof break constraint context continue cover cross design dist do expect export extends extern final first_match foreach forkjoin iff ignore_bins illegal_bins import incdir include inside instance intersect join_any join_none liblist library matches modport new noshowcancelled null packed priority protected pulsestyle_onevent pulsestyle_ondetect pure rand randc randcase randsequence ref return showcancelled solve tagged this throughout timeprecision timeunit unique use wait_order wildcard with within class clocking config generate covergroup interface package program property sequence endclass endclocking endconfig endgenerate endgroup endinterface endpackage endprogram endproperty endsequence bit byte cell chandle const coverpoint enum genvar int local logic longint shortint shortreal static string struct super type typedef union var virtual void</Keywords>
|
||||||
<Keywords name="instre2">$readmemb $readmemh $sreadmemb $sreadmemh $display $write $strobe $monitor $fdisplay $fwrite $fstrobe $fmonitor $fopen $fclose $time $stime $realtime $scale $printtimescale $timeformat $stop $finish $save $incsave $restart $input $log $nolog $key $nokey $scope $showscopes $showscopes $showvars $showvars $countdrivers $list $monitoron $monitoroff $dumpon $dumpoff $dumpfile $dumplimit $dumpflush $dumpvars $dumpall $reset $reset $reset $reset $reset $random $getpattern $rtoi $itor $realtobits $bitstoreal $setup $hold $setuphold $period $width $skew $recovery</Keywords>
|
<Keywords name="instre2">SYNTHESIS $assertkill $assertoff $asserton $bits $bitstoreal $bitstoshortreal $cast $comment $countdrivers $countones $dimensions $display $dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform $dumpall $dumpfile $dumpflush $dumplimit $dumpoff $dumpon $dumpvars $error $exit $fatal $fclose $fdisplay $fell $feof $ferror $fflush $fgetc $fgets $finish $fmonitor $fopen $fread $fscanf $fseek $fstrobe $ftell $fullskew $fwrite $get_coverage $getpattern $high $history $hold $increment $incsave $info $input $isunbounded $isunknown $itor $key $left $list $load_coverage_db $log $low $monitor $monitoroff $monitoron $nochange $nokey $nolog $onehot $onehot0 $past $period $printtimescale $q_add $q_exam $q_full $q_initialize $q_remove $random $readmemb $readmemh $realtime $realtobits $recovery $recrem $removal $reset $reset_count $reset_value $restart $rewind $right $root $rose $rtoi $sampled $save $scale $scope $set_coverage_db_name $setup $setuphold $sformat $shortrealtobits $showscopes $showvariables $showvars $signed $size $skew $sreadmemb $sreadmemh $sscanf $stable $stime $stop $strobe $swrite $time $timeformat $timescale $timeskew $typename $typeof $uandom $ungetc $unit $unpacked_dimensions $unsigned $upscope $urandom_range $value$plusargs $var $vcdclose $version $warning $width $write</Keywords>
|
||||||
|
|
||||||
</Language>
|
</Language>
|
||||||
<Language name="vhdl" ext="vhd vhdl" commentLine="--">
|
<Language name="vhdl" ext="vhd vhdl" commentLine="--">
|
||||||
<Keywords name="instre1">access after alias all architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto else elsif end entity exit file for function generate generic group guarded if impure in inertial inout is label library linkage literal loop map new next null of on open others out package port postponed procedure process pure range record register reject report return select severity shared signal subtype then to transport type unaffected units until use variable wait when while with</Keywords>
|
<Keywords name="instre1">access after alias all architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto else elsif end entity exit file for function generate generic group guarded if impure in inertial inout is label library linkage literal loop map new next null of on open others out package port postponed procedure process pure range record register reject report return select severity shared signal subtype then to transport type unaffected units until use variable wait when while with</Keywords>
|
||||||
|
@ -196,7 +196,7 @@ void LastRecentFileList::remove(int index)
|
|||||||
::RemoveMenu(_hMenu, _lrfl.at(index)._id, MF_BYCOMMAND);
|
::RemoveMenu(_hMenu, _lrfl.at(index)._id, MF_BYCOMMAND);
|
||||||
setAvailable(_lrfl.at(index)._id);
|
setAvailable(_lrfl.at(index)._id);
|
||||||
_lrfl.erase(_lrfl.begin() + index);
|
_lrfl.erase(_lrfl.begin() + index);
|
||||||
_size--;
|
--_size;
|
||||||
updateMenu();
|
updateMenu();
|
||||||
}
|
}
|
||||||
};
|
};
|
||||||
|
@ -29,12 +29,12 @@
|
|||||||
#ifndef RESOURCE_H
|
#ifndef RESOURCE_H
|
||||||
#define RESOURCE_H
|
#define RESOURCE_H
|
||||||
|
|
||||||
#define NOTEPAD_PLUS_VERSION TEXT("Notepad++ v6.4.5")
|
#define NOTEPAD_PLUS_VERSION TEXT("Notepad++ v6.5")
|
||||||
|
|
||||||
// should be X.Y : ie. if VERSION_DIGITALVALUE == 4, 7, 1, 0 , then X = 4, Y = 71
|
// should be X.Y : ie. if VERSION_DIGITALVALUE == 4, 7, 1, 0 , then X = 4, Y = 71
|
||||||
// ex : #define VERSION_VALUE TEXT("5.63\0")
|
// ex : #define VERSION_VALUE TEXT("5.63\0")
|
||||||
#define VERSION_VALUE TEXT("6.45\0")
|
#define VERSION_VALUE TEXT("6.5\0")
|
||||||
#define VERSION_DIGITALVALUE 6, 4, 5, 0
|
#define VERSION_DIGITALVALUE 6, 5, 0, 0
|
||||||
|
|
||||||
#ifdef UNICODE
|
#ifdef UNICODE
|
||||||
#define UNICODE_ANSI_MODE TEXT("(UNICODE)")
|
#define UNICODE_ANSI_MODE TEXT("(UNICODE)")
|
||||||
|
Loading…
x
Reference in New Issue
Block a user