mirror of
				https://github.com/notepad-plus-plus/notepad-plus-plus.git
				synced 2025-10-31 03:24:04 +01:00 
			
		
		
		
	
		
			
				
	
	
		
			36 lines
		
	
	
		
			714 B
		
	
	
	
		
			Plaintext
		
	
	
	
	
	
			
		
		
	
	
			36 lines
		
	
	
		
			714 B
		
	
	
	
		
			Plaintext
		
	
	
	
	
	
| entity ent1 is
 | |
| end entity ent1;
 | |
| 
 | |
| architecture rtl of ent1 is
 | |
| 	component compo1 is
 | |
|     PORT ( Reset_s : out std_logic);
 | |
| 	end component compo1;
 | |
| 
 | |
|     component reset_controller is       -- comment
 | |
|     PORT                                -- comment
 | |
|     ( Reset_s : out std_logic);
 | |
| 	end component reset_controller;     -- comment
 | |
| 
 | |
| begin
 | |
| 
 | |
| 	compo1_inst : compo1
 | |
|     PORT MAP ( Reset_s =>open);
 | |
| 
 | |
| 	rst_controller_inst : component reset_controller
 | |
|     PORT MAP ( Reset_s => open);        -- comment
 | |
| 
 | |
| 
 | |
| 
 | |
| proc1: process (reset_reset_n, clk_clk)
 | |
|     begin
 | |
|     end process;
 | |
| 
 | |
|     block1: block is
 | |
|     begin
 | |
|     end block;
 | |
| 
 | |
| comp_per_entity : entity work.doing_so port map ( Reset_s => open
 | |
|     );
 | |
| 
 | |
| end architecture rtl; -- of ent1
 |